[IEEE 2009 International Symposium on Systems,...

  • Main
  • [IEEE 2009 International Symposium on...

[IEEE 2009 International Symposium on Systems, Architectures, Modeling, and Simulation (SAMOS) - Samos, Greece (2009.07.20-2009.07.23)] 2009 International Symposium on Systems, Architectures, Modeling, and Simulation - FPGA implementation of a configurable cache/scratchpad memory with virtualized user-level RDMA capability

Kalokerinos, George, Papaefstathiou, Vassilis, Nikiforos, George, Kavadias, Stamatis, Katevenis, Manolis, Pnevmatikatos, Dionisios, Yang, Xiaojun
How much do you like this book?
What’s the quality of the file?
Download the book for quality assessment
What’s the quality of the downloaded files?
Year:
2009
Language:
english
DOI:
10.1109/icsamos.2009.5289226
File:
PDF, 560 KB
english, 2009
Conversion to is in progress
Conversion to is failed