Crosstalk Noise in WDM-Based Optical Networks-on-Chip: A...

  • Main
  • 2014
  • Crosstalk Noise in WDM-Based Optical Networks-on-Chip: A...

Crosstalk Noise in WDM-Based Optical Networks-on-Chip: A Formal Study and Comparison

Nikdast, Mahdi, Xu, Jiang, Duong, Luan Huu Kinh, Wu, Xiaowen, Wang, Xuan, Wang, Zhehui, Wang, Zhe, Yang, Peng, Ye, Yaoyao, Hao, Qinfen
How much do you like this book?
What’s the quality of the file?
Download the book for quality assessment
What’s the quality of the downloaded files?
Year:
2014
Language:
english
Journal:
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
DOI:
10.1109/tvlsi.2014.2370892
File:
PDF, 1.89 MB
english, 2014
Conversion to is in progress
Conversion to is failed