Lens aberration aware placement for timing yield

Lens aberration aware placement for timing yield

Kahng, Andrew B., Park, Chul-Hong, Sharma, Puneet, Wang, Qinke
How much do you like this book?
What’s the quality of the file?
Download the book for quality assessment
What’s the quality of the downloaded files?
Volume:
14
Language:
english
Journal:
ACM Transactions on Design Automation of Electronic Systems
DOI:
10.1145/1455229.1455245
Date:
January, 2009
File:
PDF, 1.16 MB
english, 2009
Conversion to is in progress
Conversion to is failed