books search
books
articles search
articles
Donate
Log In
Log In
to access more features
personal recommendations
Telegram Bot
download history
send to Email or Kindle
manage booklists
save to favorites
Explore
Journals
Contribution
Donate
Litera Library
Donate paper books
Add paper books
Open LITERA Point
Volume 57-58; Issue none
Main
Microelectronic Engineering
Volume 57-58; Issue none
Microelectronic Engineering
Volume 57-58; Issue none
1
Mo/Si-multilayers for EUV applications prepared by Pulsed Laser Deposition (PLD)
St Braun
,
R Dietsch
,
M Haidl
,
Th Holz
,
H Mai
,
S Müllender
,
R Scholz
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 854 KB
Your tags:
english, 2001
2
Fabrication of a self-aligned superconducting nanotransistor based NOR logic gate
S.-B. Lee
,
D.G. Hasko
,
H. Ahmed
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 493 KB
Your tags:
english, 2001
3
Continuous Image Writer with improved critical dimension performance for high-accuracy maskless optical patterning
J. Paufler
,
St. Brunn
,
T. Körner
,
F. Kühling
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 1.42 MB
Your tags:
english, 2001
4
Flattening ability of a vacuum pin chuck around the periphery of a processed wafer
A. Une
,
Y. Kai
,
M. Mochida
,
S. Matsui
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 440 KB
Your tags:
english, 2001
5
Coulomb-blockade-structures in poly-crystalline silicon
W. Neu
,
R. Augke
,
F.E. Prins
,
D.P. Kern
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 366 KB
Your tags:
english, 2001
6
120-nm lithography using off-axis TIR holography and 364 nm exposure wavelength
M. Barge
,
S. Bruynooghe
,
F. Clube
,
A. Nobari
,
J.-L. Saussol
,
E. Grass
,
H. Mayer
,
B. Schnabel
,
E.-B. Kley
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 462 KB
Your tags:
english, 2001
7
Surface characterization of optical components for the DUV, VUV and EUV
Angela Duparré
,
Igor Kozhevnikov
,
Stefan Gliech
,
Jörg Steinert
,
Gunther Notni
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 899 KB
Your tags:
english, 2001
8
Silicon-nanocrystal-based multiple-tunnel junction devices obtained by a combination of V-groove and ion beam synthesis techniques
P. Normand
,
E. Kapetanakis
,
D. Tsoukalas
,
A. Tserepi
,
E. Tsoi
,
K. Beltsios
,
K. Aidinis
,
S. Zhang
,
J. van den Berg
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 460 KB
Your tags:
english, 2001
9
Fabrication of semiconductor lasers with 2D-photonic crystal mirrors using a wet oxidized Al2O3-mask
J. Moosburger
,
M. Kamp
,
F. Klopf
,
M. Fischer
,
A. Forchel
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 681 KB
Your tags:
english, 2001
10
Silicon nanowires and their application in bi-directional electron pumps
T. Altebaeumer
,
H. Ahmed
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 689 KB
Your tags:
english, 2001
11
Low temperature nanoimprint lithography using silicon nitride molds
M.M. Alkaisi
,
R.J. Blaikie
,
S.J. McNab
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 993 KB
Your tags:
english, 2001
12
Nanofabrication using hot embossing lithography and electroforming
L.J. Heyderman
,
H. Schift
,
C. David
,
B. Ketterer
,
M. Auf der Maur
,
J. Gobrecht
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 450 KB
Your tags:
english, 2001
13
A multi-kilohertz pinch plasma radiation source for extreme ultraviolet lithography
Klaus Bergmann
,
Oliver Rosier
,
Rainer Lebert
,
Willi Neff
,
Reinhart Poprawe
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 353 KB
Your tags:
english, 2001
14
Benchmarking of available rigorous electromagnetic field (EMF) simulators for phase-shift mask applications
Christian K Kalus
,
Steffen List
,
Andreas Erdmann
,
Ron Gordon
,
Martin McCallum
,
Armin Semmler
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 743 KB
Your tags:
english, 2001
15
Intense vacuum ultraviolet emission at 172 nm from LaF3:Nd3+ crystals
E. Sarantopoulou
,
Z. Kollia
,
A.C. Cefalas
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 138 KB
Your tags:
english, 2001
16
Direct nanoengineering and lithographic patterning of optically anisotropic thin films
Ian Hodgkinson
,
Qi hong Wu
,
Matthew Arnold
,
Richard Blaikie
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 93 KB
Your tags:
english, 2001
17
Fabrication of GaN photonic crystals for 400 nm wavelength
David Peyrade
,
Yong Chen
,
Laurence Manin-Ferlazzo
,
Amira Lebib
,
Nicolas Grandjean
,
Dominique Coquillat
,
René Legros
,
Jean-Paul Lascaray
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 563 KB
Your tags:
english, 2001
18
Three-dimensional nanolithography with light forces
T Müther
,
Th Schulze
,
D Jürgens
,
M.K Oberthaler
,
J Mlynek
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 880 KB
Your tags:
english, 2001
19
Nano-fabrication with focused ion beams
J Gierak
,
D Mailly
,
G Faini
,
J.L Pelouard
,
P Denk
,
F Pardo
,
J.Y Marzin
,
A Septier
,
G Schmid
,
J Ferré
,
R Hydman
,
C Chappert
,
J Flicstein
,
B Gayral
,
J.M Gérard
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 764 KB
Your tags:
english, 2001
20
Formation of narrow grooves on thin metal layer by focused ion beam etching
M. Yoshida
,
S. Murakami
,
M. Nakayama
,
J. Yanagisawa
,
F. Wakaya
,
T. Kaito
,
K. Gamo
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 772 KB
Your tags:
english, 2001
21
Imprint with sharp tip stamps
T. Borzenko
,
M. Tormen
,
V. Hock
,
J. Liu
,
G. Schmidt
,
L.W. Molenkamp
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 1.77 MB
Your tags:
english, 2001
22
Fabrication of quantum point contacts and quantum dots by imprint lithography
I. Martini
,
M. Kamp
,
F. Fischer
,
L. Worschech
,
J. Koeth
,
A. Forchel
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 1.20 MB
Your tags:
english, 2001
23
Nanofabrication with scanning nanonozzle ‘Nanojet’
J. Voigt
,
F. Shi
,
K. Edinger
,
P. Güthner
,
I.W. Rangelow
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 1.12 MB
Your tags:
english, 2001
24
Nanoimprint fabrication of micro-rings for magnetization reversal studies
Y. Chen
,
A. Lebib
,
S.P. Li
,
M. Natali
,
D. Peyrade
,
E. Cambril
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 905 KB
Your tags:
english, 2001
25
Control of the critical dimension with a trilayer nanoimprint lithography procedure
A. Lebib
,
M. Natali
,
S.P. Li
,
E. Cambril
,
L. Manin
,
Y. Chen
,
H.M. Janssen
,
R.P. Sijbesma
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 232 KB
Your tags:
english, 2001
26
Less than 0.1 μm linewidth fabrication by visible light using super-resolution near-field structure
M. Kuwahara
,
T. Nakano
,
C. Mihalcea
,
T. Shima
,
J.H. Kim
,
J. Tominaga
,
N. Atoda
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 769 KB
Your tags:
english, 2001
27
Nanoscale patterning by focused ion beam enhanced etching for optoelectronic device fabrication
S. Rennon
,
L. Bach
,
H. König
,
J.P. Reithmaier
,
A. Forchel
,
J.L. Gentner
,
L. Goldstein
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 474 KB
Your tags:
english, 2001
28
Analysis of pattern collapse of ArF excimer laser resist by direct peeling method with atomic force microscope tip
Akira Kawai
,
Norio Moriike
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 1.23 MB
Your tags:
english, 2001
29
Modeling silicon dots fabrication using self-limiting oxidation
Yijian Chen
,
Yishi Chen
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 180 KB
Your tags:
english, 2001
30
Nanoscale patterning of self-assembled monolayers by e-beam lithography
Thomas Weimann
,
Wolfgang Geyer
,
Peter Hinze
,
Volker Stadler
,
Wolfgang Eck
,
Armin Gölzhäuser
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 319 KB
Your tags:
english, 2001
31
Exploring capabilities of electrical linewidth measurement (ELM) techniques
V. Rangelov
,
M. Sarstedt
,
J. Somerville
,
T. Marschner
,
R. Jonckheere
,
A. Poelaert
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 683 KB
Your tags:
english, 2001
32
Four-angle evaporation method for the preparation of single electron tunneling devices
Thomas Weimann
,
Hansjörg Scherer
,
Vladimir A. Krupenin
,
Franz Müller
,
Jürgen Niemeyer
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 260 KB
Your tags:
english, 2001
33
Fabrication of ordered arrays of silicon nanopillars in silicon-on-insulator wafers
A. Wellner
,
P.R. Preece
,
J.C. Fowler
,
R.E. Palmer
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 971 KB
Your tags:
english, 2001
34
Metrology method for the correlation of line edge roughness for different resists before and after etch
S. Winkelmeier
,
M. Sarstedt
,
M. Ereken
,
M. Goethals
,
K. Ronse
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 871 KB
Your tags:
english, 2001
35
Ion acoustic microscopy for imaging of buried structures based on a focused ion beam system
Ch. Akhmadaliev
,
L. Bischoff
,
J. Teichert
,
K. Kazbekov
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 408 KB
Your tags:
english, 2001
36
Evaluation of image placement correction method for EB X-ray mask writing
Toshifumi Watanabe
,
Shigehisa Ohki
,
Shingo Uchiyama
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 200 KB
Your tags:
english, 2001
37
Mask blank fabrication, pattern transfer, and mounting distortion simulations for the 8-in. format SCALPEL mask
G. Dicks
,
R. Engelstad
,
E. Lovell
,
J. Liddle
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 372 KB
Your tags:
english, 2001
38
Light-addressed sub-μm electrodes for extracellular recording and stimulation of excitable cells
Volker Bucher
,
Markus Schubert
,
Dieter Kern
,
Wilfried Nisch
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 1.03 MB
Your tags:
english, 2001
39
Fabrication of silicon aperture probes for scanning near-field optical microscopy by focused ion beam nano machining
C. Lehrer
,
L. Frey
,
S. Petersen
,
Th. Sulzbach
,
O. Ohlsson
,
Th. Dziomba
,
H.U. Danzebrink
,
H. Ryssel
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 722 KB
Your tags:
english, 2001
40
Optical properties of CrO/ZrO optical superlattice for attenuated phase shifting mask at 193 nm wavelength
F.D. Lai
,
L.A. Wang
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 139 KB
Your tags:
english, 2001
41
Photomask patterning: the influence of substrate bulk heating on placement accuracy
Bassam Shamoun
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 417 KB
Your tags:
english, 2001
42
Thermal nano-probe
I.W. Rangelow
,
T. Gotszalk
,
P. Grabiec
,
K. Edinger
,
N. Abedinov
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 1.80 MB
Your tags:
english, 2001
43
Diffractive grey-tone phase masks for laser ablation lithography
C. David
,
J. Wei
,
T. Lippert
,
A. Wokaun
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 1.83 MB
Your tags:
english, 2001
44
Equivalent modeling techniques for predicting pattern transfer in EPL masks
E.P. Cotte
,
E.G. Lovell
,
R.L. Engelstad
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 377 KB
Your tags:
english, 2001
45
A self-assembled monolayer-assisted surface microfabrication and release technique
B.J. Kim
,
M. Liebau
,
J. Huskens
,
D.N. Reinhoudt
,
J. Brugger
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 269 KB
Your tags:
english, 2001
46
Modeling mask fabrication and pattern transfer distortions for EPL stencil masks
P. Reu
,
R. Engelstad
,
E. Lovell
,
C. Magg
,
M. Lercel
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 626 KB
Your tags:
english, 2001
47
Pattern transfer distortions in optical photomasks
A. Mikkelson
,
R. Engelstad
,
E. Lovell
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 859 KB
Your tags:
english, 2001
48
Rigorous electromagnetic simulation of EUV masks: influence of the absorber properties
Patrick Schiavone
,
Gérard Granet
,
J.Y. Robic
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 234 KB
Your tags:
english, 2001
49
Colloidal gold natural lithography technique for fabricating GaAs nanopillars
Paul A. Lewis
,
Haroon Ahmed
,
Bruce W. Alphenaar
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 546 KB
Your tags:
english, 2001
50
Electron-beam lithography patterning of magnetic nickel films
A. Gerardino
,
E. Di Fabrizio
,
A. Nottola
,
S. Cabrini
,
G. Giannini
,
L. Mastrogiacomo
,
G. Gubbiotti
,
P. Candeloro
,
G. Carlotti
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 312 KB
Your tags:
english, 2001
51
T-gate fabrication using a ZEP520A/UVIII bilayer
Y. Chen
,
D. MacIntyre
,
S. Thoms
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 121 KB
Your tags:
english, 2001
52
On-line nanolithography using electron beam-induced deposition technique
Uwe Hübner
,
R. Plontke
,
M. Blume
,
A. Reinhardt
,
H.W.P. Koops
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 1.29 MB
Your tags:
english, 2001
53
Micromachined silicon suspended wires with submicrometric dimensions
P. Bruschi
,
A. Diligenti
,
M. Piotto
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 582 KB
Your tags:
english, 2001
54
Modification of a shallow 2DEG by AFM lithography
R. Nemutudi
,
N.J. Curson
,
N.J. Appleyard
,
D.A. Ritchie
,
G.A.C. Jones
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 932 KB
Your tags:
english, 2001
55
High precision mask fabrication for deep X-ray lithography using 40-kV shaped electron beam lithography
Andreas Schmidt
,
Gerhard Himmelsbach
,
Regina Lüttge
,
Dieter Adam
,
Falk Hoke
,
Hartmut Schacke
,
Nicola Belic
,
Hans Hartmann
,
Frank Burkhard
,
Hermann Wolf
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 860 KB
Your tags:
english, 2001
56
Fine pit pattern formation by EB-writing for a high density optical recording
Sumio Hosaka
,
Hajime Koyanagi
,
Keizou Katoh
,
Fumio Isshiki
,
Tatsundo Suzuki
,
Mitsuhide Miyamoto
,
Akira Arimoto
,
Takeshi Maeda
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 1.45 MB
Your tags:
english, 2001
57
Simulation of space charge neutralization using ions in electron beam projection optics
Kimitoshi Takahashi
,
Liqun Han
,
R.Fabian Pease
,
W.Dan Meisburger
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 357 KB
Your tags:
english, 2001
58
Lithographically defined polymer tips for quartz tuning fork based scanning force microscopes
T. Akiyama
,
U. Staufer
,
N.F. de Rooij
,
L. Howald
,
L. Scandella
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 541 KB
Your tags:
english, 2001
59
Progress toward the fabrication of scanning near field optical probe: pattern definition by e-beam lithography
V. Foglietti
,
E. Cianci
,
G. Giannini
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 379 KB
Your tags:
english, 2001
60
A reflection lithography using multicharged ions
V. Le Roux
,
G. Borsoni
,
M.L. Korwin-Pawlowski
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 411 KB
Your tags:
english, 2001
61
Manufacturing of 3D structures for micro-tools using laser ablation
Peter Heyl
,
Thomas Olschewski
,
Roelof W. Wijnaendts
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 1.23 MB
Your tags:
english, 2001
62
The influence of the Coulomb interaction effect in the electron beam on the developed resist structure for the projection lithography
M. Kotera
,
M. Sakai
,
K. Yamada
,
K. Tamura
,
Y. Tomo
,
I. Simizu
,
A. Yoshida
,
Y. Kojima
,
M. Yamabe
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 383 KB
Your tags:
english, 2001
63
Modeling of focused ion beam induced chemistry and comparison with experimental data
Klaus Edinger
,
Thomas Kraus
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 100 KB
Your tags:
english, 2001
64
Improved anisotropic deep etching in KOH-solutions to fabricate highly specular surfaces
C Mihalcea
,
A Hölz
,
M Kuwahara
,
J Tominaga
,
E Oesterschulze
,
N Atoda
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 326 KB
Your tags:
english, 2001
65
A study of reactive ion etching damage effects in GaN
B Rong
,
R.J Reeves
,
S.A Brown
,
M.M Alkaisi
,
E van der Drift
,
R Cheung
,
W.G Sloof
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 103 KB
Your tags:
english, 2001
66
A temperature microsensor for biological investigations
M. Zaborowski
,
P. Grabiec
,
T. Gotszalk
,
E. Romanowska
,
I.W. Rangelow
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 791 KB
Your tags:
english, 2001
67
Reactive ion etching of deeply etched DBR-structures with reduced air-gaps for highly reflective monolithically integrated laser mirrors
K. Avary
,
S. Rennon
,
F. Klopf
,
J.P. Reithmaier
,
A. Forchel
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 753 KB
Your tags:
english, 2001
68
High aspect ratio silicon tips field emitter array
I.W. Rangelow
,
St. Biehl
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 256 KB
Your tags:
english, 2001
69
Design of hybrid micro optical elements with coded gray-tone mask
Jun Yao
,
Zheng Cui
,
Fuhua Gao
,
Yixiao Zhang
,
Feng Gao
,
Jinglei Du
,
Jingqin Su
,
Yongkang Guo
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 1.01 MB
Your tags:
english, 2001
70
Submicron image reversal by liquid phase deposition of oxide
Antti J. Niskanen
,
Sami Franssila
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 223 KB
Your tags:
english, 2001
71
Effects of pressure and capping layer thickness on sub-micron T-gate recess etching of GaAs p-HEMTs by SiCl4/SiF4/O2 reactive ion etch
X. Li
,
K. Elgaid
,
H. McLelland
,
I.G. Thayne
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 1.43 MB
Your tags:
english, 2001
72
The application of secondary effects in high aspect ratio dry etching for the fabrication of MEMS
B.E. Volland
,
H. Heerlein
,
I. Kostic
,
I.W. Rangelow
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 703 KB
Your tags:
english, 2001
73
Micro-optical elements fabricated by electron-beam lithography and dry etching technique using top conductive coatings
R. Steingrüber
,
M. Ferstl
,
W. Pilz
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 397 KB
Your tags:
english, 2001
74
Nanometer and high aspect ratio patterning by electron beam lithography using a simple DUV negative tone resist
H. Elsner
,
H.-G. Meyer
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 438 KB
Your tags:
english, 2001
75
Application of the partial wave expansion method in 3-D low energy electron beam lithography simulation
X. Zianni
,
D. Velessiotis
,
N. Glezos
,
K.N. Trohidou
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 225 KB
Your tags:
english, 2001
76
Potential of DNQ/novolac and chemically amplified resists for 100 nm device generation maskmaking
Zoilo C.H Tan
,
Phuong Le
,
Tom Coleman
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 357 KB
Your tags:
english, 2001
77
A study on adhesion and footing issues of HMDSO films as bottom antireflective coating for deep UV lithographies
C.H Lin
,
H.L Chen
,
L.A Wang
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 169 KB
Your tags:
english, 2001
78
Development of advanced silylation process for 157-nm lithography
Isao Satou
,
Manabu Watanabe
,
Hiroyuki Watanabe
,
Toshiro Itani
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 518 KB
Your tags:
english, 2001
79
Feasibility study of CARL DUV-positive resist for 30-kV electron beam application and status of further resist development
O Kirch
,
K Elian
,
K Seibold
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 579 KB
Your tags:
english, 2001
80
Fabrication of on-wafer MMIC compatible integrated NiCr loads
K. Elgaid
,
D.L. Edgar
,
S.M. Ferguson
,
S.P. Beaumont
,
I.G. Thayne
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 394 KB
Your tags:
english, 2001
81
Progress on the realization of the electron column modules for SCALPEL high-throughput/alpha electron projection lithography tools
D. Stenkamp
,
O. Kienzle
,
A. Orchowski
,
W.D. Rau
,
A. Weickenmeier
,
G. Benner
,
M. Wetzke
,
W. Waskiewicz
,
V. Katsap
,
X. Zhu
,
H. Liu
,
E. Munro
,
J.A. Rouse
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 968 KB
Your tags:
english, 2001
82
Ion projection lithography: status of tool and mask developments
Rainer Kaesmaier
,
Albrecht Ehrmann
,
Hans Löschner
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 1.14 MB
Your tags:
english, 2001
83
Field emission photocathode array for multibeam electron lithography
M.J. Wieland
,
B.J. Kampherbeek
,
P. Addessi
,
P. Kruit
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 516 KB
Your tags:
english, 2001
84
PREVAIL e-beam stepper alpha tool
H.C. Pfeiffer
,
R.S. Dhaliwal
,
S.D. Golladay
,
S.K. Doran
,
M.S. Gordon
,
R.A. Kendall
,
J.E. Lieberman
,
D.J. Pinckney
,
R.J. Quickle
,
C.F. Robinson
,
J.D. Rockrohr
,
W. Stickel
,
E.V. Tressler
,
A. Tanimoto
,
T
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 1.27 MB
Your tags:
english, 2001
85
Automatic determination of spatial dose distribution for improved accuracy in e-beam proximity effect correction
Soo-Young Lee
,
Jayesh Laddha
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 260 KB
Your tags:
english, 2001
86
A hierarchical pattern representation format for proximity effect correction in E-beam lithography
Soo-Young Lee
,
Jayesh Laddha
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 443 KB
Your tags:
english, 2001
87
Intermittence effect in electron beam writing
L.-Chr. Wittig
,
M. Cumme
,
T. Harzendorf
,
E.-B. Kley
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 431 KB
Your tags:
english, 2001
88
Measuring the increase in effective emittance after a grid lens
S. van Kranen
,
D. Moonen
,
P. Kruit
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 1.24 MB
Your tags:
english, 2001
89
Wafer stage assembly for ion projection lithography
Christoph Damm
,
Thomas Peschel
,
Stephan Risse
,
Ulf C. Kirschstein
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 344 KB
Your tags:
english, 2001
90
Adjustment and mounting of stencil masks for ion projection lithography
Christoph Damm
,
Andreas Gebhardt
,
Thomas Peschel
,
,
Ulf C. Kirschstein
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 463 KB
Your tags:
english, 2001
91
DY-7 sub-0.1 micron EB lithography system
Wenqi Gu
,
Niankan Kang
,
Hong Xue
,
Min Tan
,
Liming Wang
,
Fuan Zhang
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 393 KB
Your tags:
english, 2001
92
How to improve lateral pn-junction electron detectors for microcolumn systems
G.S. Fritz
,
F.E. Prins
,
D.P. Kern
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 2.22 MB
Your tags:
english, 2001
93
Development of a vertical wafer stage for high-vacuum applications
Erik Beckert
,
Andrew Hoffmann
,
Eugen Saffert
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 321 KB
Your tags:
english, 2001
94
Reference plate manufacturing process for the ion projection lithography pattern lock system
F. Letzkus
,
J. Butschke
,
M. Irmscher
,
C. Reuter
,
R. Springer
,
S. Eder
,
H. Löschner
,
R. Eberhardt
,
M. Mohaupt
,
A. Ehrmann
,
J. Mathuni
,
B. Panzer
,
T. Struck
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 401 KB
Your tags:
english, 2001
95
Electrostatic chucks for lithography applications
G. Kalkowski
,
S. Risse
,
G. Harnisch
,
V. Guyenot
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 249 KB
Your tags:
english, 2001
96
On the influence of the e-beam writer address grid on the optical quality of high-frequency gratings
Bernd Schnabel
,
Ernst-Bernhard Kley
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 275 KB
Your tags:
english, 2001
97
Simulation of latent image formation for ion beam projection lithography
G. Mladenov
,
K. Vutova
,
I. Raptis
,
P. Argitis
,
I. Rangelow
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 158 KB
Your tags:
english, 2001
98
Comprehensive simulation of electron-beam lithography processes using prolith/3d and temptation software tools
Sergey Babin
,
Igor Yu. Kuzmin
,
Chris A. Mack
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 719 KB
Your tags:
english, 2001
99
Efficient and robust algorithms for Monte Carlo and e-beam lithography simulation
V.V. Ivin
,
M.V. Silakov
,
N.V. Vorotnikova
,
D.J. Resnick
,
K.N. Nordquist
,
L. Siragusa
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 155 KB
Your tags:
english, 2001
100
Mask-membrane impact on image blur in SCALPEL
Masis Mkrtchyan
,
Gregg Gallatin
,
Alexander Liddle
,
Xeiqing Zhu
,
Eric Munro
,
Warren Waskiewicz
,
David Muller
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 627 KB
Your tags:
english, 2001
101
Hybrid deep UV–e-beam lithography for the fabrication of dual damascene structures
L Mollard
,
S Tedesco
,
B Dal’zotto
,
V Jaubert
,
L Pain
,
G Fanget
,
C Comboroure
,
Y Morand
,
M Fayolle
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 480 KB
Your tags:
english, 2001
102
Influence of the scattered electrons at the mask in a projection lithography system
M. Kotera
,
Y. Ishida
,
K. Naruse
,
M. Sakai
,
Y. Tomo
,
I. Simizu
,
A. Yoshida
,
Y. Kojima
,
M. Yamabe
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 1.10 MB
Your tags:
english, 2001
103
Sensitivity, contrast and development process in electron and ion lithography
K. Vutova
,
G. Mladenov
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 124 KB
Your tags:
english, 2001
104
Multiple electron-beam lithography
T.H.P. Chang
,
Marian Mankos
,
Kim Y. Lee
,
Larry P. Muray
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 4.01 MB
Your tags:
english, 2001
105
Evaluation of a new X-ray stepper XRA
M. Suita
,
S. Mitsui
,
H. Sumitani
,
H. Aoyama
,
T. Taguchi
,
Y. Matsui
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 133 KB
Your tags:
english, 2001
106
Heat resistance of EUV multilayer mirrors for long-time applications
T Feigl
,
H Lauth
,
S Yulin
,
N Kaiser
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 1.16 MB
Your tags:
english, 2001
107
New laser pattern generator for DUV using a spatial light modulator
Ulric Ljungblad
,
Ulrike Dauderstädt
,
Peter Dürr
,
Torbjörn Sandström
,
Hans Buhre
,
Hubert Lakner
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 721 KB
Your tags:
english, 2001
108
The simulation of application of high transmittance AttPSM for sub-100 nm pattern in 248 nm lithography
Cheng-ming Lin
,
Wen-an Loong
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 388 KB
Your tags:
english, 2001
109
Preliminary results from key experiments on sources for EUV lithography
R. Lebert
,
L. Aschke
,
K. Bergmann
,
S. Düsterer
,
K. Gäbel
,
D. Hoffmann
,
P. Loosen
,
W. Neff
,
P. Nickles
,
O. Rosier
,
R. Poprawe
,
D. Rudolph
,
W. Sandner
,
R. Sauerbrey
,
G. Schmahl
,
H. Schwoerer
,
H. Stiehl
,
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 49 KB
Your tags:
english, 2001
110
LILIT beamline for soft and deep X-ray lithography at Elettra
Filippo Romanato
,
Enzo Di Fabrizio
,
Lisa Vaccari
,
M. Altissimo
,
D. Cojoc
,
L. Businaro
,
Stefano Cabrini
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 436 KB
Your tags:
english, 2001
111
Contrast mechanisms in high-resolution contact lithography: A comparative study
Michael Paulus
,
Heinz Schmid
,
Bruno Michel
,
Olivier J.F. Martin
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 239 KB
Your tags:
english, 2001
112
Characterization and application of a UV-based imprint technique
M. Otto
,
M. Bender
,
B. Hadam
,
B. Spangenberg
,
H. Kurz
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 624 KB
Your tags:
english, 2001
113
Multistep profiles by mix and match of nanoimprint and UV lithography
K. Pfeiffer
,
M. Fink
,
G. Gruetzner
,
G. Bleidiessel
,
H. Schulz
,
H. Scheer
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 1.09 MB
Your tags:
english, 2001
114
Stress analysis in Si membranes for open stencil masks and mini-reticles using double bulging and resonance methods
A. Degen
,
N. Abedinov
,
T. Gotszalk
,
E. Sossna
,
M. Kratzenberg
,
I.W. Rangelow
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 1.33 MB
Your tags:
english, 2001
115
Noncontacting laser-based techniques for the determination of elastic constants of thin silicon membranes
B. Weiss
,
M. Klein
,
E. Sossna
,
B. Volland
,
I.W. Rangelow
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 502 KB
Your tags:
english, 2001
116
The correlation between the chemical compositions and optical properties of TiSixNy as an embedded layer for AttPSM in 193 nm
Wen-an Loong
,
Cheng-ming Lin
,
Show-ping Tseng
,
Wen-long Yeh
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 221 KB
Your tags:
english, 2001
117
Large area electron scattering effects on SCALPEL mask critical dimension control
K. Nordquist
,
D.J. Resnick
,
V. Ivin
,
P. Mangat
,
B. Lu
,
Z. Masnyj
,
E. Ainley
,
W.J. Dauksher
,
D. Mancini
,
M. Silakov
,
D. Minyushkin
,
N. Vorotnikova
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 305 KB
Your tags:
english, 2001
118
Reduction of post exposure delay time and contamination sensitivity in chemically amplified resists: application for lithography using off-line environment
L. Pain
,
B. Scarfogliere
,
S. Tedesco
,
C. Gourgon
,
J.P. Coulomb
,
M. Morin
,
M. Ribeiro
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 849 KB
Your tags:
english, 2001
119
Resist process development for sub-100-nm ion projection lithography
S Hirscher
,
R Kaesmaier
,
W.-D Domke
,
A Wolter
,
H Löschner
,
E Cekan
,
C Horner
,
M Zeininger
,
J Ochsenhirt
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 247 KB
Your tags:
english, 2001
120
Free volume effect on resist lithographic performance
I. Raptis
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 1.29 MB
Your tags:
english, 2001
121
Negative (meth)acrylate resist materials based on novel crosslinking chemistry
Constantinos D Diakoumakos
,
Ioannis Raptis
,
Angeliki Tserepi
,
Panagiotis Argitis
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 533 KB
Your tags:
english, 2001
122
Surface and line-edge roughness in plasma-developed resists
A. Tserepi
,
E.S. Valamontes
,
E. Tegou
,
I. Raptis
,
E. Gogolides
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 642 KB
Your tags:
english, 2001
123
Simulation of surface and line-edge roughness formation in resists
G.P. Patsis
,
E. Gogolides
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 688 KB
Your tags:
english, 2001
124
Cleaning status on low-k dielectric in advanced VLSI interconnect:: Characterisation and principal issues
D Louis
,
A Beverina
,
C Arvet
,
E Lajoinie
,
C Peyne
,
D Holmes
,
D Maloney
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 742 KB
Your tags:
english, 2001
125
Simulation of fluorocarbon plasma etching of SiO2 structures
G. Kokkoris
,
E. Gogolides
,
A.G. Boudouvis
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 224 KB
Your tags:
english, 2001
126
Deep silicon etch modeling for fabrication of 200-mm SCALPEL masks
W.J. Dauksher
,
S.B. Clemens
,
D.J. Resnick
,
K.H. Smith
,
P.J.S. Mangat
,
S. Rauf
,
P.L.G. Ventzek
,
V. Arunachalam
,
B.N. Ramamurthi
,
H. Ashraf
,
L. Lea
,
S. Hall
,
I.R. Johnston
,
J. Hopkins
,
J.K. Bhardwaj
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 144 KB
Your tags:
english, 2001
127
Proposal for new atomic force microscopy (AFM) imaging for a high aspect structure (digital probing mode AFM)
Sumio Hosaka
,
Takafumi Morimoto
,
Kouji Kuroda
,
Hiroyuki Kunitomo
,
Takenori Hiroki
,
Tsuyoshi Kitsukawa
,
Shigeru Miwa
,
Hiroyuki Yanagimoto
,
Ken Murayama
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 822 KB
Your tags:
english, 2001
128
An electronic nose for intelligent consumer products based on a gas analytical gradient microarray
J. Goschnick
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 833 KB
Your tags:
english, 2001
129
Apodised Bragg gratings in planar waveguides for add-drop filters
C. David
,
D. Wiesmann
,
R. Germann
,
F. Horst
,
B.J. Offrein
,
R. Beyeler
,
H.W.M. Salemink
,
G.L. Bona
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 1.81 MB
Your tags:
english, 2001
130
Refractive micro lens array made of dichromate gelatin with gray-tone photolithography
Jun Yao
,
Zheng Cui
,
Fuhua Gao
,
Yixiao Zhang
,
Yongkang Guo
,
Chunlei Du
,
Hongjun Zeng
,
Chuankai Qiu
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 302 KB
Your tags:
english, 2001
131
Integrating diamond pyramids into metal cantilevers and using them as electrical AFM probes
T. Hantschel
,
S. Slesazeck
,
P. Niedermann
,
P. Eyben
,
W. Vandervorst
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 436 KB
Your tags:
english, 2001
132
Gated field emitter arrays
Tomasz Debski
,
Wolfgang Barth
,
Ivo W. Rangelow
,
Steffen Biehl
,
Piotr Grabiec
,
I.I. Bekh
,
A.E. Lushkin
,
L.G. Il’chenko
,
V.V. Il’chenko
,
Ivan Kostic
,
Peter Hudek
,
Stanislaw Mitura
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 778 KB
Your tags:
english, 2001
133
Evaluation and fabrication of AFM array for ESA-Midas/Rosetta space mission
W. Barth
,
T. Debski
,
N. Abedinov
,
Tz. Ivanov
,
H. Heerlein
,
B. Volland
,
T. Gotszalk
,
I.W. Rangelow
,
K. Torkar
,
K. Fritzenwallner
,
P. Grabiec
,
K. Studzińska
,
I. Kostic
,
P. Hudek
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 521 KB
Your tags:
english, 2001
134
A new ‘2D to 3D’ X-ray lithography technology for gray scale structures
V.A. Kudryashov
,
Sing Lee
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 74 KB
Your tags:
english, 2001
135
Influence of background charges on Coulomb blockade in quantum dots
M. Skender
,
R. Straub
,
F.E. Prins
,
D.P. Kern
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 738 KB
Your tags:
english, 2001
136
Interactions in magnetic arrays for storage and computation
A. Kundrotaite
,
M. Rahman
,
P.R. Aitchison
,
J.N. Chapman
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 126 KB
Your tags:
english, 2001
137
Electron beam lithography in passivated gold nanoclusters
T.R. Bedson
,
R.E. Palmer
,
J.P. Wilcoxon
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 972 KB
Your tags:
english, 2001
138
In situ fabrication of vertical tunnel junctions for SET devices
K. Hofmann
,
B. Spangenberg
,
H. Kurz
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 566 KB
Your tags:
english, 2001
139
Optimisation of microlenses fabricated by deep proton irradiation and styrene diffusion
H.-J. Woo
,
Y.-S. Kim
,
H.-W. Choi
,
W. Hong
,
S. Lee
,
M. Kufner
,
S. Kufner
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 268 KB
Your tags:
english, 2001
140
Two-dimensional photonic crystals produced by additive nanolithography with electron beam-induced deposition act as filters in the infrared
H.W.P Koops
,
O.E Hoinkis
,
M.E.W Honsberg
,
R Schmidt
,
R Blum
,
G Böttger
,
A Kuligk
,
C Liguda
,
M Eich
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 740 KB
Your tags:
english, 2001
141
Vapour supply manifold for additive nanolithography with electron beam induced deposition
H.W.P. Koops
,
A. Reinhardt
,
F. Klabunde
,
A. Kaya
,
R. Plontke
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 339 KB
Your tags:
english, 2001
142
Operation of high power field emitters fabricated with electron beam deposition and concept of a miniaturised free electron laser
F. Floreani
,
H.W. Koops
,
W. Elsäßer
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 1.32 MB
Your tags:
english, 2001
143
Preface
Olaf Fortagne
,
Dieter P. Kern
,
Uwe Behringer
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 23 KB
Your tags:
english, 2001
144
Author Index Volumes 57-58
Journal:
Microelectronic Engineering
Year:
2001
Language:
english
File:
PDF, 102 KB
Your tags:
english, 2001
1
Follow
this link
or find "@BotFather" bot on Telegram
2
Send /newbot command
3
Specify a name for your chatbot
4
Choose a username for the bot
5
Copy an entire last message from BotFather and paste it here
×
×