books search
books
articles search
articles
Donate
Log In
Log In
to access more features
personal recommendations
Telegram Bot
download history
send to Email or Kindle
manage booklists
save to favorites
Explore
Journals
Contribution
Donate
Litera Library
Donate paper books
Add paper books
Open LITERA Point
Volume 87; Issue 5-8
Main
Microelectronic Engineering
Volume 87; Issue 5-8
Microelectronic Engineering
Volume 87; Issue 5-8
1
Formation of Cu electrical circuit by simplified damascene process based on UV-assisted thermal imprinting
Sung-Won Youn
,
Akihisa Ueno
,
Hideki Takagi
,
Masaharu Takahashi
,
Ryutaro Maeda
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 798 KB
Your tags:
english, 2010
2
Microlens arrays on large area UV transparent hybrid sol–gel materials for optical tools
Simone Dal Zilio
,
Gioia Della Giustina
,
Giovanna Brusatin
,
Massimo Tormen
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 287 KB
Your tags:
english, 2010
3
Complex nanostructures in PMMA made by a single process step using e-beam lithography
S. Gautsch
,
M. Studer
,
N.F. de Rooij
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 425 KB
Your tags:
english, 2010
4
Lithography guided horizontal growth of silicon nanowires for the fabrication of ultrasensitive piezoresistive strain gauges
Marta Fernández-Regúlez
,
Jose A. Plaza
,
Emilio Lora-Tamayo
,
Alvaro San Paulo
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 651 KB
Your tags:
english, 2010
5
Delta lithography method to increase CD uniformity and throughput of SLM-based maskless lithography
Manseung Seo
,
Haeryung Kim
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 500 KB
Your tags:
english, 2010
6
Influence of FIB patterning strategies on the shape of 3D structures: Comparison of experiments with simulations
M. Rommel
,
J.D. Jambreck
,
C. Ebm
,
E. Platzgummer
,
A.J. Bauer
,
L. Frey
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 440 KB
Your tags:
english, 2010
7
TEM specimen preparation by Au+, Ga+, Si+ and Si++ focused ion beams for off-axis electron holography
G. BenAssayag
,
P. Salles
,
F. Bertin
,
D. Cooper
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 573 KB
Your tags:
english, 2010
8
Simulation of shot noise effect on CD and LER of electron-beam lithography in 32 nm designs
G.P. Patsis
,
N. Tsikrikas
,
D. Drygiannakis
,
I. Raptis
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 598 KB
Your tags:
english, 2010
9
Towards high diffraction efficiency zone plates for X-ray microscopy
S. Werner
,
S. Rehbein
,
P. Guttmann
,
S. Heim
,
G. Schneider
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 616 KB
Your tags:
english, 2010
10
Massive manufacture and characterization of single-walled carbon nanotube field effect transistors
I. Martin-Fernandez
,
M. Sansa
,
M.J. Esplandiu
,
E. Lora-Tamayo
,
F. Perez-Murano
,
P. Godignon
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 372 KB
Your tags:
english, 2010
11
Iterative roller imprint of multilayered nanostructures
Keisuke Nagato
,
Shuntaro Sugimoto
,
Tetsuya Hamaguchi
,
Masayuki Nakao
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 329 KB
Your tags:
english, 2010
12
Fabrication and characterization of coaxial scanning near-field optical microscopy cantilever sensors
M. Salomo
,
D. Bayer
,
B.R. Schaaf
,
M. Aeschlimann
,
E. Oesterschulze
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 336 KB
Your tags:
english, 2010
13
Line Width Roughness mitigation in chemically amplified resist by post-litho processes
Alessandro Vaglio Pret
,
Roel Gronheid
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 446 KB
Your tags:
english, 2010
14
Fabrication of metallic SPM tips by combining UV nanoimprint lithography and focused ion beam processing
J.D. Jambreck
,
H. Schmitt
,
B. Amon
,
M. Rommel
,
A.J. Bauer
,
L. Frey
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 402 KB
Your tags:
english, 2010
15
Integrated tool for the spreading, thermal treatment and in situ process monitoring of thick photoresist films
D. Goustouridis
,
I. Raptis
,
E. Valamontes
,
M. Chatzichrisitidi
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 466 KB
Your tags:
english, 2010
16
Confined VLS growth and structural characterization of silicon nanoribbons
A. Lecestre
,
E. Dubois
,
A. Villaret
,
T. Skotnicki
,
P. Coronel
,
G. Patriarche
,
C. Maurice
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 618 KB
Your tags:
english, 2010
17
172 nm pre-treatment for PDMS/PDMS replication
S. Möllenbeck
,
N. Bogdanski
,
A. Mayer
,
H.-C. Scheer
,
J. Zajadacz
,
K. Zimmer
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 209 KB
Your tags:
english, 2010
18
Improving the imaging quality of MOEs in DMD-based maskless lithography
XiaoWei Guo
,
Yongzhi Liu
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 481 KB
Your tags:
english, 2010
19
Electrostatic rotator for alignment purposes in multi electron beam systems
A.C. Zonnevylle
,
C.Th.H. Heerkens
,
P. Kruit
,
M.L. Wieland
,
F.M. Postma
,
S.W.K.H. Steenbrink
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 627 KB
Your tags:
english, 2010
20
Embedding of single-wall carbon nanotubes into nanopores of porous alumina by electrophoresis
Yuichi Kurashima
,
Shouta Shimada
,
Takeshi Tanaka
,
Shinsaku Hagiwara
,
Hiromichi Kataura
,
Youichi Sakakibara
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 613 KB
Your tags:
english, 2010
21
High resolution patterning – Preparation of VSB systems for 22 nm node capability
Peter Hahmann
,
Monika Boettcher
,
Matthias W. Klein
,
Ines A. Stolberg
,
Ulf Weidenmueller
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 587 KB
Your tags:
english, 2010
22
Effects of Al buffer layer on growth of highly vertically aligned carbon nanotube forests for in situ yarning
Bum Ho Choi
,
Hana Yoo
,
Young Baek Kim
,
Jong Ho Lee
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 696 KB
Your tags:
english, 2010
23
2D Angular distributions of ion sputtered germanium atoms under grazing incidence
M. Sekowski
,
A. Burenkov
,
H. Ryssel
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 590 KB
Your tags:
english, 2010
24
Full wafer microlens replication by UV imprint lithography
H. Schmitt
,
M. Rommel
,
A.J. Bauer
,
L. Frey
,
A. Bich
,
M. Eisner
,
R. Voelkel
,
M. Hornung
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 1.56 MB
Your tags:
english, 2010
25
Ion beam fabrication of natural single crystal diamond nano-tips for potential use in atomic force microscopy
Takashi Nagase
,
Junki Kawamura
,
Shahjada Ahemed Pahlovy
,
Iwao Miyamoto
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 380 KB
Your tags:
english, 2010
26
Investigation on the critical velocity for liquid loss in immersion lithography
Wenyu Chen
,
Xin Fu
,
Jun Zou
,
Huayong Yang
,
Xiaodong Ruan
,
Guofang Gong
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 341 KB
Your tags:
english, 2010
27
Controlling the growth of carbon nanotubes for electronic devices
M. Mann
,
Y. Zhang
,
K.B.K. Teo
,
T. Wells
,
M.M. El Gomati
,
W.I. Milne
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 301 KB
Your tags:
english, 2010
28
Photolithographic patterning of polymer-encapsulated optical oxygen sensors
Volker Nock
,
Maan Alkaisi
,
Richard J. Blaikie
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 446 KB
Your tags:
english, 2010
29
Patterned ZnO nanorods network transistor fabricated by low-temperature hydrothermal process
Tao Chen
,
Shu-Yi Liu
,
Qi Xie
,
Yu-Long Jiang
,
Guo-Ping Ru
,
Ran Liu
,
Xin-Ping Qu
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 399 KB
Your tags:
english, 2010
30
Motility of bacteria in microfluidic structures
Marie Binz
,
Abraham P. Lee
,
Clive Edwards
,
Dan V. Nicolau
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 284 KB
Your tags:
english, 2010
31
Biomolecular layer thickness evaluation using White Light Reflectance Spectroscopy
M. Kitsara
,
P. Petrou
,
D. Kontziampasis
,
K. Misiakos
,
E. Makarona
,
I. Raptis
,
K. Beltsios
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 540 KB
Your tags:
english, 2010
32
Nanopatterned UV curable hydrogels for biomedical applications
Ainhoa Gaston
,
Ali Z. Khokhar
,
Leire Bilbao
,
Virginia Sáez-Martínez
,
Ana Corres
,
Isabel Obieta
,
Nikolaj Gadegaard
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 457 KB
Your tags:
english, 2010
33
Diffusion-based concentration control in microcavities during long time period by programmed syringe pumps
Guangwei Si
,
Xuejun Zhu
,
Yangsen Kang
,
Chunxiong Luo
,
Qi Ouyang
,
Yong Chen
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 569 KB
Your tags:
english, 2010
34
Sensitivity enhancement of SPR biosensor by improving surface quality of glass slides
X. Chen
,
M. Pan
,
K. Jiang
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 566 KB
Your tags:
english, 2010
35
Fabrication of novel double microlens using two step soft lithography
Han-Hyoung Kim
,
Beom-Hoan O
,
Seung-Gol Lee
,
Se-Geun Park
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 668 KB
Your tags:
english, 2010
36
Novel micromirror design with variable pull-in voltage
Roel Beernaert
,
Tomas Podprocky
,
Jeroen De Coster
,
Ann Witvrouw
,
Luc Haspeslagh
,
Aykut Avci
,
Jelle De Smet
,
Herbert De Smet
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 644 KB
Your tags:
english, 2010
37
Three-dimensional pattern transfer on quartz substrates
K. Mohamed
,
M.M. Alkaisi
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 548 KB
Your tags:
english, 2010
38
Simulation of the nanostructuring of surfaces under ion-beam bombardment
Florin Fulga
,
Dan V. Nicolau
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 302 KB
Your tags:
english, 2010
39
Fabrication and characterization of high extinction ratio transmission polarizers
Zhen-Cheng Xu
,
Shen-Qi Xie
,
Zhen Shu
,
Bing-Rui Lu
,
Jing Wan
,
Yifang Chen
,
Ejaz Huq
,
Xin-Ping Qu
,
Ran Liu
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 348 KB
Your tags:
english, 2010
40
Stable superhydrophobic surfaces induced by dual-scale topography on SU-8
Jose Marquez-Velasco
,
Maria-Elena Vlachopoulou
,
Angeliki Tserepi
,
Evangelos Gogolides
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 504 KB
Your tags:
english, 2010
41
Fabrication of micro-lenses for optical interconnection using micro ink-jetting technique
Hyun-Shik Lee
,
Insu Park
,
Keum Soo Jeon
,
El-Hnag Lee
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 367 KB
Your tags:
english, 2010
42
Focusing of biological cells utilizing negative dielectrophoretic force generated by insulating structures
Chun-Ping Jen
,
Ching-Te Huang
,
Cheng-Hsin Weng
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 698 KB
Your tags:
english, 2010
43
Novel lamination and interconnection technologies demonstrated in a flexible modular optical sensor array for wound monitoring
Jeroen van den Brand
,
Milan Saalmink
,
Marco Barink
,
Andreas Dietzel
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 328 KB
Your tags:
english, 2010
44
Low energy Xe+ ion beam machining of ULE® substrates for EUVL projection optics – Evaluation of high-spatial frequency roughness
Hironori Endo
,
Takuro Inaba
,
Shahjada A. Pahlovy
,
Iwao Miyamoto
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 359 KB
Your tags:
english, 2010
45
Flow rate based control of wavelength emission in a multicolor microfluidic dye laser
G. Aubry
,
S. Méance
,
A.-M. Haghiri-Gosnet
,
Q. Kou
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 389 KB
Your tags:
english, 2010
46
Morphological influence of the beam overlap in focused ion beam induced deposition using raster scan
Chung-Soo Kim
,
Hyung-Jung Kim
,
Sung-Hoon Ahn
,
Dong-Young Jang
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 490 KB
Your tags:
english, 2010
47
UV enhanced substrate conformal imprint lithography (UV-SCIL) technique for photonic crystals patterning in LED manufacturing
Ran Ji
,
Michael Hornung
,
Marc A. Verschuuren
,
Robert van de Laar
,
Jan van Eekelen
,
Ulrich Plachetka
,
Michael Moeller
,
Christian Moormann
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 489 KB
Your tags:
english, 2010
48
Thermoelectric energy harvester fabricated by Stepper
J. Su
,
Ruud J.M. Vullers
,
M. Goedbloed
,
Y. van Andel
,
V. Leonov
,
Z. Wang
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 826 KB
Your tags:
english, 2010
49
Microfabricated magnetic bead polydimethylsiloxane microarrays
Jenny Aveyard
,
Tobias Hedegaard
,
Brian Bilenberg
,
Dan V. Nicolau
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 297 KB
Your tags:
english, 2010
50
Fabrication of an all-polymer electrochemical sensor by using a one-step hot embossing procedure
Jan Kafka
,
Niels B. Larsen
,
Steen Skaarup
,
Oliver Geschke
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 369 KB
Your tags:
english, 2010
51
Fabrication of nano-scaled patterns on ceramic thin films and silicon substrates by soft ultraviolet nanoimprint lithography
K.L. Jim
,
F.K. Lee
,
J.Z. Xin
,
C.W. Leung
,
H.L.W. Chan
,
Y. Chen
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 459 KB
Your tags:
english, 2010
52
Atomic precision patterning on Si: An opportunity for a digitized process
J.N. Randall
,
J.B. Ballard
,
J.W. Lyding
,
S. Schmucker
,
J.R. Von Ehr
,
R. Saini
,
H. Xu
,
Y. Ding
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 225 KB
Your tags:
english, 2010
53
Fabrication of BioFET linear array for detection of protein interactions
Ling Wang
,
Pedro Estrela
,
Ejaz Huq
,
Peng Li
,
Stephen Thomas
,
Paul Ko Ferrigno
,
Debjani Paul
,
Paul Adkin
,
Piero Migliorato
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 460 KB
Your tags:
english, 2010
54
Effect analysis of magnetic annealing below Curie-temperature on the magnetic properties of electrodeposited nickel–iron
A. Jordan
,
M.R. Kirchhoff
,
S. Büttgenbach
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 265 KB
Your tags:
english, 2010
55
New hybrid organic–inorganic sol–gel positive resist
L. Brigo
,
A. Pistore
,
G. Grenci
,
A. Carpentiero
,
F. Romanato
,
G. Brusatin
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 379 KB
Your tags:
english, 2010
56
Influence of crystallization temperature on InP ring-shaped quantum-dot molecules grown by droplet epitaxy
Wipakorn Jevasuwan
,
Poonyasiri Boonpeng
,
Somsak Panyakeow
,
Somchai Ratanathammaphan
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 555 KB
Your tags:
english, 2010
57
Fully integrated three-axis Hall magnetic sensor based on micromachined structures
L. Sileo
,
M.T. Todaro
,
V. Tasco
,
M. De Vittorio
,
A. Passaseo
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 303 KB
Your tags:
english, 2010
58
Preparation of Ru thin film layer on Si and TaN/Si as diffusion barrier by plasma enhanced atomic layer deposition
Bum Ho Choi
,
Yong Hwan Lim
,
Jong Ho Lee
,
Young Baek Kim
,
Ho-Nyun Lee
,
Hong Kee Lee
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 611 KB
Your tags:
english, 2010
59
Focused gold ions beam for localized epitaxy of semiconductor nanowires
J. Gierak
,
A. Madouri
,
E. Bourhis
,
L. Travers
,
D. Lucot
,
J.C. Harmand
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 1.09 MB
Your tags:
english, 2010
60
Silver/silver-chloride electrode fabrication in closed micro-fluidic capillaries
Friedjof Heuck
,
Urs Staufer
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 772 KB
Your tags:
english, 2010
61
3D opto-electrical device stacking on CMOS
L. Dellmann
,
U. Drechsler
,
T. Morf
,
H. Rothuizen
,
R. Stutz
,
J. Weiss
,
M. Despont
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 539 KB
Your tags:
english, 2010
62
Nanoelectrode lithography using a flat mold with a pattern defined by different conductivities
Atsushi Yokoo
,
Hideo Namatsu
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 708 KB
Your tags:
english, 2010
63
22 nm silicon nanowire gas sensor fabricated by trilayer nanoimprint and wet etching
Chen Gao
,
Shao-Ren Deng
,
Jing Wan
,
Bing-Rui Lu
,
Ran Liu
,
Ejaz Huq
,
Xin-Ping Qu
,
Yifang Chen
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 361 KB
Your tags:
english, 2010
64
Guide structure with pole arrays imprinted on nylon fiber
Harutaka Mekaru
,
Osamu Koizumi
,
Akihisa Ueno
,
Masaharu Takahashi
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 1.74 MB
Your tags:
english, 2010
65
Evaluation of filling behavior on UV nanoimprint lithography using release coating
Kazutomo Osari
,
Noriyuki Unno
,
Jun Taniguchi
,
Ken-ichi Machinaga
,
Takeshi Ohsaki
,
Nobuji Sakai
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 1.25 MB
Your tags:
english, 2010
66
A reconfigurable superparamagnetic bead filter for microfluidic detection of bio-material
M. Matteucci
,
F.G.A. Homburg
,
S. van Pelt
,
A. Dietzel
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 783 KB
Your tags:
english, 2010
67
Optical vibrometer for mechanical properties characterization of silicalite-only cantilever based sensors
J. Agustí
,
I. Pellejero
,
G. Abadal
,
G. Murillo
,
M.A. Urbiztondo
,
J. Sesé
,
M. Villarroya-Gaudó
,
M. Pina
,
J. Santamaría
,
N. Barniol
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 490 KB
Your tags:
english, 2010
68
A versatile pattern inversion process based on thermal and soft UV nanoimprint lithography techniques
Jing Chen
,
Jian Shi
,
Andrea Cattoni
,
Dominique Decanini
,
Zhengtang Liu
,
Yong Chen
,
Anne-Marie Haghiri-Gosnet
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 845 KB
Your tags:
english, 2010
69
Ultra-high density MEMS probe memory device
John Heck
,
Donald Adams
,
Nickolai Belov
,
Tsung-Kuan A. Chou
,
Byong Kim
,
Kevin Kornelsen
,
Qing Ma
,
Valluri Rao
,
Simone Severi
,
Dean Spicer
,
Ghassan Tchelepi
,
Ann Witvrouw
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 1.29 MB
Your tags:
english, 2010
70
Laser-assisted structuring of metal–polymer bilayers for protein patterning
Serban Dobroiu
,
Falco C.M.J.M. van Delft
,
Emile van Thiel
,
Kristi L. Hanson
,
Dan V. Nicolau
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 376 KB
Your tags:
english, 2010
71
Inverted tapered pillars for mass sensing
Mauro Melli
,
Alessandro Pozzato
,
Marco Lazzarino
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 270 KB
Your tags:
english, 2010
72
Fabrication of micro/nano fluidic system combining hybrid mask-mould lithography with thermal bonding
Xiaojun Li
,
Xudi Wang
,
Jian Jin
,
Qisheng Tang
,
Yangchao Tian
,
Shaojun Fu
,
Z. Cui
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 327 KB
Your tags:
english, 2010
73
Influence of nano-embossing on properties of poly(VDF-TrFE)
Jiang-Rong Fang
,
Xiao-Ya Luo
,
Zhen Ma
,
Zhen-Kui Shen
,
Qian Lu
,
Bing-Rui Lu
,
Guo-Dong Zhu
,
Xin-Ping Qu
,
Ran Liu
,
Yi-Fang Chen
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 361 KB
Your tags:
english, 2010
74
A study of virtual lithography process for polymer directed self-assembly
Sang-Kon Kim
,
Hye-Keun Oh
,
Young-Dae Jung
,
Ilsin An
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 798 KB
Your tags:
english, 2010
75
Deeply-etched two-dimensional grating in a Ta2O5 guiding layer for very narrow spectral filtering
A. Talneau
,
F. Lemarchand
,
A.L. Fehrembach
,
J. Girard
,
A. Sentenac
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 437 KB
Your tags:
english, 2010
76
3D stacked arrays of fins and nanowires on bulk silicon
M. Bopp
,
P. Coronel
,
C. Hibert
,
A.M. Ionescu
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 360 KB
Your tags:
english, 2010
77
Fabrication of diffraction grating in polydimethylsiloxane using femtosecond-pulsed laser micromachining
Tien-Li Chang
,
Shao-Wei Luo
,
Han-Ping Yang
,
Chi-Hung Lee
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 509 KB
Your tags:
english, 2010
78
Fluctuations of the adsorbed mass and the resonant frequency of vibrating MEMS/NEMS structures due to multilayer adsorption
Zoran G. Djurić
,
Ivana M. Jokić
,
Maja M. Djukić
,
Miloš P. Frantlović
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 406 KB
Your tags:
english, 2010
79
Bacterial adhesion to toroidal nano-structures from poly(styrene)-block-poly(tert-butyl acrylate) diblock copolymer thin films
Shuyan Li
,
Andras Z. Komaromy
,
Dan V. Nicolau
,
Reinhard I. Boysen
,
Milton T.W. Hearn
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 294 KB
Your tags:
english, 2010
80
A cold micro plasma jet device suitable for bio-medical applications
Kangil Kim
,
Geunyoung Kim
,
Yong Cheol Hong
,
Sang Sik Yang
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 627 KB
Your tags:
english, 2010
81
Optical micro-structures fabricated on top of optical fibers by means of two-photon photopolymerization
G. Cojoc
,
C. Liberale
,
P. Candeloro
,
F. Gentile
,
G. Das
,
F. De Angelis
,
E. Di Fabrizio
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 373 KB
Your tags:
english, 2010
82
Fabricating metallic wire grating inside a polymeric substrate by insertion nanoimprint
Chia-Meng Chen
,
Cheng-Kuo Sung
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 509 KB
Your tags:
english, 2010
83
Novel SU-8 based vacuum wafer-level packaging for MEMS devices
Gonzalo Murillo
,
Zachary J. Davis
,
Stephan Keller
,
Gabriel Abadal
,
Jordi Agusti
,
Alberto Cagliani
,
Nadine Noeth
,
Anja Boisen
,
Nuria Barniol
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 474 KB
Your tags:
english, 2010
84
Effects of mold shape and sidewall roughness on nanoimprint by molecular dynamics simulation
Chung Han Yao
,
Chih Hang Chang
,
Chih Wei Hsieh
,
Cheng Kuo Sung
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 1.18 MB
Your tags:
english, 2010
85
Fabrication and measurement of large-area sub-wavelength structures with broadband and wide-angle antireflection effect
Yung-Pin Chen
,
Hsin-Chieh Chiu
,
Guan-Yu Chen
,
Chieh-Hsiu Chiang
,
Ching-Tung Tseng
,
Chih-Hsien Lee
,
Lon A. Wang
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 1.16 MB
Your tags:
english, 2010
86
Polarizing and spectrally selective photonic device based upon dielectric nanorods
Sophia Buhbut
,
Arkady Rudnitsky
,
Michael Rosenbluh
,
Arie Zaban
,
Zeev Zalevsky
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 462 KB
Your tags:
english, 2010
87
Potential and limitations of a T-NIL/UVL hybrid process
H.-C. Scheer
,
M. Wissen
,
N. Bogdanski
,
S. Möllenbeck
,
A. Mayer
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 1.05 MB
Your tags:
english, 2010
88
Diffusion of thiols during microcontact printing with rigid stamps
Iris Bergmair
,
Michael Mühlberger
,
Elisabeth Lausecker
,
Kurt Hingerl
,
Rainer Schöftner
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 236 KB
Your tags:
english, 2010
89
Direct polymer-transfer lithography for high-throughput fabrication of Cu line patterns
Takayuki Shibata
,
Takahiro Kawashima
,
Toshio Kubota
,
Mamoru Mita
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 703 KB
Your tags:
english, 2010
90
Ultrafine PMMA(QDs)/PVDF core–shell fibers for nanophotonic applications
Shi-Li Quan
,
Hyun-Sik Lee
,
El-Hang Lee
,
Kyoung-Duck Park
,
Seung Gol Lee
,
In-Joo Chin
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 297 KB
Your tags:
english, 2010
91
Self-aligned cantilever positioning for on-substrate measurements using DVD pickup head
F.G. Bosco
,
E.-T. Hwu
,
S. Keller
,
A. Greve
,
A. Boisen
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 307 KB
Your tags:
english, 2010
92
Replication of cancer cells using soft lithography bioimprint technique
Fahmi Samsuri
,
Maan M. Alkaisi
,
John S. Mitchell
,
John J. Evans
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 386 KB
Your tags:
english, 2010
93
Micro-calorimetric sensor for vapor phase explosive detection with optimized heat profile
A. Greve
,
J. Olsen
,
N. Privorotskaya
,
L. Senesac
,
T. Thundat
,
W.P. King
,
A. Boisen
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 410 KB
Your tags:
english, 2010
94
Integration of organic semiconductor lasers and single-mode passive waveguides into a PMMA substrate
Christoph Vannahme
,
Sönke Klinkhammer
,
Alexander Kolew
,
Peter-Jürgen Jakobs
,
Markus Guttmann
,
Simone Dehm
,
Uli Lemmer
,
Timo Mappes
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 370 KB
Your tags:
english, 2010
95
Preface
Kurt Ronse
,
Dries Van Thourhout
,
Stefan De Gendt
,
Liesbet Lagae
,
Geert Vandenberghe
,
Ann Witvrouw
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 89 KB
Your tags:
english, 2010
96
Membrane-less in-plane bulk-micromachined thermopiles for energy harvesting
Y. van Andel
,
M. Jambunathan
,
R.J.M. Vullers
,
V. Leonov
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 391 KB
Your tags:
english, 2010
97
Dipole antenna and distributed MEMS phase shifter fully integrated in a single wafer process for beam steering applications
M. Fernández-Bolaños
,
A. Vasylchenko
,
P. Dainesi
,
S. Brebels
,
W. De Raedt
,
G.A.E. Vandenbosch
,
A.M. Ionescu
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 738 KB
Your tags:
english, 2010
98
Determination of local electrostatic forces for EUVL mask chucks
Gerhard Kalkowski
,
Thomas Peschel
,
Stefan Risse
,
Sandra Müller
,
Roxann L. Engelstad
,
Jacob R. Zeuske
,
Pradeep Vukkadala
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 1.11 MB
Your tags:
english, 2010
99
Stainless steel–titania composite micro gear fabricated by soft moulding and dispersing technique
M.F. Imbaby
,
K. Jiang
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 431 KB
Your tags:
english, 2010
100
Hybrid circuit analysis of a suspended gate silicon nanodot memory (SGSNM) cell
M.A. Garcia-Ramirez
,
Yoshishige Tsuchiya
,
Hiroshi Mizuta
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 1.52 MB
Your tags:
english, 2010
101
Influence of pin chuck ring seals and polishing steps on wafer flatness
Atsunobu Une
,
Kenichiro Yoshitomi
,
Masaaki Mochida
,
Nagahisa Ogasawara
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 576 KB
Your tags:
english, 2010
102
Carbon nanotube vertical membranes for electrostatically actuated micro-electro-mechanical devices
A. Arun
,
D. Acquaviva
,
M. Fernández-Bolaños
,
P. Salet
,
H. Le-Poche
,
P. Pantigny
,
T. Idda
,
A.M. Ionescu
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 514 KB
Your tags:
english, 2010
103
Hydrogen silsesquioxane electron beam lithography for ultra-small single electron transistors in silicon on insulator
W. Daves
,
M. Ruoff
,
M. Fleischer
,
D.A. Wharam
,
D.P. Kern
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 296 KB
Your tags:
english, 2010
104
Effects of substrate nanopatterning on human osteosarcoma cells (SaOs-2) behavior
G.J. Bakeine
,
L. Benedetti
,
D. Galli
,
G. Grenci
,
A. Pozzato
,
M. Prasciolu
,
M. Tormen
,
G. Cusella
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 407 KB
Your tags:
english, 2010
105
Study of flow and pressure field in microchannels with various cross-section areas
Anastasios Petropoulos
,
Grigoris Kaltsas
,
Danijela Randjelovic
,
Evangelos Gogolides
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 355 KB
Your tags:
english, 2010
106
Nanotomography based study of gas diffusion layers
H. Ostadi
,
P. Rama
,
Y. Liu
,
R. Chen
,
X. Zhang
,
K. Jiang
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 229 KB
Your tags:
english, 2010
107
Development of a tungsten plasma etch process for IR nanobolometer fabrication
Stephen F. Gilmartin
,
Khalil Arshak
,
Dave Bain
,
William A. Lane
,
Brendan McCarthy
,
Damian Collins
,
Simon B. Newcomb
,
Arousian Arshak
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 378 KB
Your tags:
english, 2010
108
Improved high-temperature etch processing of high-k metal gate stacks in scaled TANOS memory devices
J. Paul
,
V. Beyer
,
M. Czernohorsky
,
M.F. Beug
,
K. Biedermann
,
M. Mildner
,
P. Michalowski
,
E. Schütze
,
T. Melde
,
S. Wege
,
R. Knöfler
,
T. Mikolajick
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 557 KB
Your tags:
english, 2010
109
Dynamic thermal mechanical characterization of Epoclad negative photoresist for micro mechanical structures
Kristof Wouters
,
Hendrik De Doncker
,
Robert Puers
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 467 KB
Your tags:
english, 2010
110
Fabrication of 316-L stainless steel micro components using encapsulating soft mould and isopressing technique
M. Imbaby
,
K. Jiang
,
I. Chang
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 631 KB
Your tags:
english, 2010
111
Large-area nanoperforated SiN membranes for optical and mechanical filtering
J. Viheriälä
,
T. Niemi
,
J. Laukkanen
,
M. Karjalainen
,
M. Pessa
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 227 KB
Your tags:
english, 2010
112
Suspended membranes, cantilevers and beams using SU-8 foils
J. Melai
,
V.M. Blanco Carballo
,
C. Salm
,
J. Schmitz
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 614 KB
Your tags:
english, 2010
113
Approach of enhancing exposure depth for evanescent wave interference lithography
Xiaoyun Niu
,
Yuming Qi
,
Jingquan Wang
,
Zhiyou Zhang
,
Jinglei Du
,
Yongkang Guo
,
Ruiying Shi
,
Min Gong
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 469 KB
Your tags:
english, 2010
114
Optimized process for the fabrication of zirconia micro parts
H. Hassanin
,
K. Jiang
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 319 KB
Your tags:
english, 2010
115
Optimization of illumination pupils and mask structures for proximity printing
K. Motzek
,
A. Bich
,
A. Erdmann
,
M. Hornung
,
M. Hennemeyer
,
B. Meliorisz
,
U. Hofmann
,
N. Ünal
,
R. Voelkel
,
S. Partel
,
P. Hudek
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 515 KB
Your tags:
english, 2010
116
Magnetic dot clusters for application in magneto-electronics
Marco Francardi
,
Margherita Sepioni
,
Annamaria Gerardino
,
Francesco Sansone
,
Gianluca Gubbiotti
,
Marco Madami
,
Silvia Tacchi
,
Giovanni Carlotti
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 638 KB
Your tags:
english, 2010
117
Cross-sectional observation of nanoimprint resins filled in SiO2/Si mold pattern using scanning electron microscopy
Makoto Okada
,
Mayuko Shibata
,
Yuichi Haruyama
,
Kazuhiro Kanda
,
Yoshihiko Hirai
,
Shinji Matsui
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 822 KB
Your tags:
english, 2010
118
Projection Mask-Less Lithography (PML2)
Christof Klein
,
Jan Klikovits
,
Laszlo Szikszai
,
Elmar Platzgummer
,
Hans Loeschner
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 3.05 MB
Your tags:
english, 2010
119
Resist-based silver nanocomposites synthesized by lithographic methods
J. Marques-Hueso
,
R. Abargues
,
J. Canet-Ferrer
,
J.L. Valdes
,
J. Martinez-Pastor
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 286 KB
Your tags:
english, 2010
120
Functionally graded microceramic components
H. Hassanin
,
K. Jiang
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 306 KB
Your tags:
english, 2010
121
An experimental investigation of the surface potential in ferroelectric P(VDF-TrFE) FETs
Alexandru Rusu
,
Giovanni Salvatore
,
Adrian Ionescu
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 532 KB
Your tags:
english, 2010
122
The nanofabrication and transport properties of ferromagnetic metal nanocontacts
Changzhi Gu
,
Peng Xu
,
Haifang Yang
,
Ke Xia
,
Junjie Li
,
Yiguang Zhang
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 541 KB
Your tags:
english, 2010
123
PDMS microfluidic chips prepared by a novel casting and pre-polymerization method
Walter Schrott
,
Miloš Svoboda
,
Zdeněk Slouka
,
Michal Přibyl
,
Dalimil Šnita
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 285 KB
Your tags:
english, 2010
124
Micro-pressure sensor made of conductive PDMS for microfluidic applications
H. Li
,
C.X. Luo
,
H. Ji
,
Qi Ouyang
,
Y. Chen
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 379 KB
Your tags:
english, 2010
125
Simulation of focused ion beam etching by coupling a topography simulator and a Monte-Carlo sputtering yield simulator
D. Kunder
,
E. Baer
,
M. Sekowski
,
P. Pichler
,
M. Rommel
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 354 KB
Your tags:
english, 2010
126
Field emission properties of discretely synthesized tungsten oxide nanowires
Masaki Furubayashi
,
Keisuke Nagato
,
Hiroki Moritani
,
Tetsuya Hamaguchi
,
Masayuki Nakao
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 662 KB
Your tags:
english, 2010
127
Fabrication of plastic microchips with gold microelectrodes using techniques of sacrificed substrate and thermally activated solvent bonding
Miloš Svoboda
,
Zdeněk Slouka
,
Walter Schrott
,
Petr Červenka
,
Michal Přibyl
,
Dalimil Šnita
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 953 KB
Your tags:
english, 2010
128
Dry etching device quality high-κ GaxGdyOz gate oxide in SiCl4 chemistry for low resistance ohmic contact realisation in fabricating III–V MOSFETs
X. Li
,
H. Zhou
,
R.J.W. Hill
,
P. Longo
,
M. Holland
,
I.G. Thayne
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 346 KB
Your tags:
english, 2010
129
Process development for improved soft X-ray zone plates
J. Reinspach
,
M. Lindblom
,
O.v. Hofsten
,
M. Bertilson
,
H.M. Hertz
,
A. Holmberg
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 482 KB
Your tags:
english, 2010
130
Guided phase separation of polymer blend thin films on ion beam-induced pre-patterned substrates
Yogesh Karade
,
Frédéric Madani-Grasset
,
Rüdiger Berger
,
Vojtech Csiba
,
Mathias Rommel
,
Andreas Dietzel
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 587 KB
Your tags:
english, 2010
131
Silicon nanowires with lateral uniaxial tensile stress profiles for high electron mobility gate-all-around MOSFETs
M. Najmzadeh
,
L. De Michielis
,
D. Bouvet
,
P. Dobrosz
,
S. Olsen
,
A.M. Ionescu
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 486 KB
Your tags:
english, 2010
132
High-purity cobalt nanostructures grown by focused-electron-beam-induced deposition at low current
R. Córdoba
,
J. Sesé
,
J.M. De Teresa
,
M.R. Ibarra
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 394 KB
Your tags:
english, 2010
133
Rapid injection molding of high-aspect-ratio nanostructures
Shuntaro Hattori
,
Keisuke Nagato
,
Tetsuya Hamaguchi
,
Masayuki Nakao
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 436 KB
Your tags:
english, 2010
134
Nanoimprint lithography for optic fluidics with phase gratings for environmental monitoring application
Rong Yang
,
Bing-Rui Lu
,
Jing Xue
,
Zhen-Kui Shen
,
Zhen-Cheng Xu
,
Ejaz Huq
,
Xin-Ping Qu
,
Yifang Chen
,
Ran Liu
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 353 KB
Your tags:
english, 2010
135
Integrated cantilever probes for SECM/AFM characterization of surfaces
M. Salomo
,
S.E. Pust
,
G. Wittstock
,
E. Oesterschulze
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 318 KB
Your tags:
english, 2010
136
Novel fabrication of various size ZnO nanorods using hydrothermal method
Seong-Jong Kim
,
Han-Hyoung Kim
,
Joo-Beom Kwon
,
Jong-Geun Lee
,
Beom-Hoan O
,
Seung Gol Lee
,
El-Hang Lee
,
Se-Geun Park
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 487 KB
Your tags:
english, 2010
137
Observation of unipolar resistance switching in silver doped methyl-silsesquioxane
R. Rosezin
,
M. Meier
,
S. Trellenkamp
,
C. Kügeler
,
R. Waser
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 368 KB
Your tags:
english, 2010
138
Plastic microfluidic systems made by imprinting against an epoxy stamp
Miloš Svoboda
,
Walter Schrott
,
Zdeněk Slouka
,
Michal Přibyl
,
Dalimil Šnita
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 281 KB
Your tags:
english, 2010
139
An integrated field emission array for ion desorption
P.J. Resnick
,
C.E. Holland
,
P.R. Schwoebel
,
K.L. Hertz
,
D.L. Chichester
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 435 KB
Your tags:
english, 2010
140
Electron Beam Direct Writing technology for LSI prototyping business
Yasuhide Machida
,
Takashi Maruyama
,
Yoshinori Kojima
,
Shinji Sugatani
,
Haruo Tsuchikawa
,
Kozo Ogino
,
Hiromi Hoshino
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 370 KB
Your tags:
english, 2010
141
Development of a low temperature amorphous Si/Ti for integrated MEMS/NEMS
Liudi Jiang
,
G. Lewis
,
S.M. Spearing
,
N.M. Jennett
,
M. Monclus
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 1.70 MB
Your tags:
english, 2010
142
Graphene electrodes for n-type organic field-effect transistors
Henrik H. Henrichsen
,
Peter Bøggild
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 214 KB
Your tags:
english, 2010
143
Electron beam lithography using plasma polymerized hexane as resist
R.H. Pedersen
,
M. Hamzah
,
S. Thoms
,
P. Roach
,
M.R. Alexander
,
N. Gadegaard
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 339 KB
Your tags:
english, 2010
144
Computer simulation of resist profiles at electron beam nanolithography
Katia Vutova
,
Elena Koleva
,
Georgy Mladenov
,
Ivan Kostic
,
Takeshi Tanaka
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 378 KB
Your tags:
english, 2010
145
Systematic study of the interdependence of exposure and development conditions and kinetic modelling for optimizing low-energy electron beam nanolithography
M.A. Mohammad
,
T. Fito
,
J. Chen
,
S. Buswell
,
M. Aktary
,
M. Stepanova
,
S.K. Dew
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 569 KB
Your tags:
english, 2010
146
Extraction of the point-spread function in electron-beam lithography using a cross geometry
D. Schefzyk
,
D.E.F. Biesinger
,
D.A. Wharam
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 570 KB
Your tags:
english, 2010
147
Replication of micro optical element with continuous relief profile in fused silica using UV-embossing and Reactive Ion Etching
P. Jin
,
N. Liu
,
T.T. Liu
,
J.B. Tan
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 673 KB
Your tags:
english, 2010
148
Influence of gasification on the performance of a 1 MHz nozzle system in megasonic cleaning
M. Hauptmann
,
S. Brems
,
E. Camerotto
,
A. Zijlstra
,
G. Doumen
,
T. Bearda
,
P.W. Mertens
,
W. Lauriks
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 293 KB
Your tags:
english, 2010
149
Modeling fluid velocity response for wafer scanning in immersion lithography
Hui Chen
,
Xin Fu
,
Jun Zou
,
Huayong Yang
,
Xiaodong Ruan
,
Guofang Gong
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 375 KB
Your tags:
english, 2010
150
Generation of metal patterns by topography-directed deposition
Dianpeng Qi
,
Nan Lu
,
Bingjie Yang
,
Hongbo Xu
,
Miaojun Xu
,
Lifeng Chi
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 330 KB
Your tags:
english, 2010
151
A novel 3D nanolens for sub-wavelength focusing by self-aligned nanolithography
Bing-Rui Lu
,
Yifang Chen
,
Shao-Wei Wang
,
Ejaz Huq
,
Edward Rogers
,
Tsung Sheng Kao
,
Xin-Ping Qu
,
Ran Liu
,
Nikolay I. Zheludev
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 350 KB
Your tags:
english, 2010
152
Nanopatterned polymethylpentene substrates fabricated by injection molding for biophotonic applications
R. Hainberger
,
R. Bruck
,
N. Kataeva
,
R. Heer
,
A. Köck
,
P. Czepl
,
K. Kaiblinger
,
F. Pipelka
,
B. Bilenberg
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 407 KB
Your tags:
english, 2010
153
Three-dimensional surface sculpting of freestanding metal-composite nanomembranes
Jovan Matović
,
Zoran Jakšić
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 311 KB
Your tags:
english, 2010
154
Columnar shaped microresonators for mass detection and gas analysis
J. Kehrbusch
,
P. Bozek
,
B. Radzio
,
E.A. Ilin
,
E. Oesterschulze
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 357 KB
Your tags:
english, 2010
155
Third-mode 48 MHz free–free beam resonator used as a RF balun
J.L. López
,
J. Giner
,
G. Murillo
,
F. Torres
,
E. Marigó
,
A. Uranga
,
G. Abadal
,
N. Barniol
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 463 KB
Your tags:
english, 2010
156
Fabrication of a microfluidic platform for investigating dynamic biochemical processes in living samples by FTIR microspectroscopy
Giovanni Birarda
,
Gianluca Grenci
,
Luca Businaro
,
Benedetta Marmiroli
,
Sabrina Pacor
,
Lisa Vaccari
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 305 KB
Your tags:
english, 2010
157
Ultra low concentrated molecular detection using super hydrophobic surface based biophotonic devices
F. Gentile
,
G. Das
,
M.L. Coluccio
,
F. Mecarini
,
A. Accardo
,
L. Tirinato
,
R. Tallerico
,
G. Cojoc
,
C. Liberale
,
P. Candeloro
,
P. Decuzzi
,
F. De Angelis
,
E. Di Fabrizio
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 316 KB
Your tags:
english, 2010
158
NOA 63 as a UV-curable material for fabrication of microfluidic channels with native hydrophilicity
Emile P. Dupont
,
Raphaëlle Luisier
,
Martin A.M. Gijs
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 316 KB
Your tags:
english, 2010
159
Lithography on GaMnAs layer by AFM local anodic oxidation in the AC mode
M. Janoušek
,
J. Halada
,
J. Voves
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 548 KB
Your tags:
english, 2010
160
Pattern transfer optimization for the fabrication of arrays of silicon nanowires
Yamane Gebremichael
,
Ana Sánchez
,
Xavier Borrise
,
Malte Schmidt
,
Alejandro R. Goñi
,
M. Isabel Alonso
,
Ricardo Rurali
,
Jordi Suñé
,
Xavier Cartoixa
,
Francesc Pérez-Murano
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 334 KB
Your tags:
english, 2010
161
Fabrication of micro ball joint by using micro-EDM and electroforming
Chang-Sheng Lin
,
Yunn-Shiuan Liao
,
Yi-Ting Cheng
,
Yunn-Cheng Lai
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 440 KB
Your tags:
english, 2010
162
Charged Particle Nanopatterning (CHARPAN) of 2D and 3D masters for flexible replication in Substrate Conformal Imprint Lithography (SCIL)
Falco van Delft
,
Robert van de Laar
,
Marc Verschuuren
,
Elmar Platzgummer
,
Hans Loeschner
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 1.30 MB
Your tags:
english, 2010
163
Direct e-beam writing of high aspect ratio nanostructures in PMMA: A tool for diffractive X-ray optics fabrication
Sergey Gorelick
,
Joan Vila-Comamala
,
Vitaliy Guzenko
,
Rajmund Mokso
,
Marco Stampanoni
,
Christian David
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 475 KB
Your tags:
english, 2010
164
Lithography scaling issues associated with III–V MOSFETs
O. Ignatova
,
S. Thoms
,
W. Jansen
,
D.S. Macintyre
,
I. Thayne
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 280 KB
Your tags:
english, 2010
165
Fabrication of large area homogeneous metallic nanostructures for optical sensing using colloidal lithography
R.L. Eriksen
,
A. Pors
,
J. Dreier
,
A.C. Simonsen
,
O. Albrektsen
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 503 KB
Your tags:
english, 2010
166
The 3D nanostructure growth evaluations by the real-time current monitoring on focused-ion-beam chemical vapor deposition
Reo Kometani
,
Shin’ichi Warisawa
,
Sunao Ishihara
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 529 KB
Your tags:
english, 2010
167
Microfluidics structures for probing the dynamic behaviour of filamentous fungi
Marie Held
,
Abraham P. Lee
,
Clive Edwards
,
Dan V. Nicolau
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 387 KB
Your tags:
english, 2010
168
Improved CD control and line edge roughness in E-beam lithography through combining proximity effect correction with gray scale techniques
Jens Bolten
,
Thorsten Wahlbrink
,
Namil Koo
,
Heinrich Kurz
,
Stefan Stammberger
,
Uli Hofmann
,
Nezih Ünal
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 414 KB
Your tags:
english, 2010
169
Fully automated hot embossing processes utilizing high resolution working stamps
T. Glinsner
,
T. Veres
,
G. Kreindl
,
E. Roy
,
K. Morton
,
T. Wieser
,
C. Thanner
,
D. Treiblmayr
,
R. Miller
,
P. Lindner
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 375 KB
Your tags:
english, 2010
170
Degradation and surfactant-aided regeneration of fluorinated anti-sticking mold treatments in UV nanoimprint lithography
M. Zelsmann
,
C. Alleaume
,
D. Truffier-Boutry
,
A. Francone
,
A. Beaurain
,
B. Pelissier
,
J. Boussey
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 377 KB
Your tags:
english, 2010
171
Study of the behaviour of monomers in thermal nanoimprint lithography
C. Gourgon
,
A. Béduer
,
S. Landis
,
C. Perret
,
N. Chaix
,
I. Gereige
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 392 KB
Your tags:
english, 2010
172
Two-tone metal pattern transfer technique using a single mold surface
Noriyuki Unno
,
Jun Taniguchi
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 874 KB
Your tags:
english, 2010
173
In- and Sb-doped tin oxide nanocrystalline films for selective gas sensing
Alexandra Zima
,
Anton Köck
,
Thomas Maier
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 854 KB
Your tags:
english, 2010
174
Soft UV-NIL at 20 nm scale using flexible bi-layer stamp casted on HSQ master mold
Andrea Cattoni
,
E. Cambril
,
D. Decanini
,
G. Faini
,
A.M. Haghiri-Gosnet
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 481 KB
Your tags:
english, 2010
175
Free-standing silicon-nitride zoneplates for neutral-helium microscopy
Thomas Reisinger
,
Sabrina Eder
,
Martin M. Greve
,
Henry I. Smith
,
Bodil Holst
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 440 KB
Your tags:
english, 2010
176
3D metallo-dielectric structures combining electrochemical and electroplating techniques
D. Hernández
,
D. Lange
,
T. Trifonov
,
M. Garín
,
M. García
,
A. Rodríguez
,
R. Alcubilla
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 914 KB
Your tags:
english, 2010
177
3D materials made of gold using Nanoimprint Lithography
Iris Bergmair
,
Michael Mühlberger
,
Kurt Hingerl
,
Ekaterina Pshenay-Severin
,
Thomas Pertsch
,
Ernst Bernhard Kley
,
Holger Schmidt
,
Rainer Schöftner
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 448 KB
Your tags:
english, 2010
178
Reduction of pitch of nanohole array by self-organizing anodic oxidation after nanoimprinting
S. Shingubara
,
S. Maruo
,
T. Yamashita
,
M. Nakao
,
T. Shimizu
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 1.39 MB
Your tags:
english, 2010
179
Gold nanoparticles by soft UV nanoimprint lithography coupled to a lift-off process for plasmonic sensing of antibodies
G. Barbillon
,
F. Hamouda
,
S. Held
,
P. Gogol
,
B. Bartenlian
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 352 KB
Your tags:
english, 2010
180
Experimental and simulation study of the effect of pipette roughness on giga-seal formation in patch clamping
M. Malboubi
,
Y. Gu
,
K. Jiang
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 488 KB
Your tags:
english, 2010
181
Thermal characterization of materials for double patterning
S. Derrough
,
A. Pikon
,
C. Sourd
,
I. Guérin
,
J. Simon
,
S. Gaugiran
,
T. Cardolaccia
,
Y. Liu
,
P. Trefonas
,
G. Barclay
,
Y.C. Bae
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 521 KB
Your tags:
english, 2010
182
Challenges in using optical lithography for the building of a 22 nm node 6T-SRAM cell
M. Ercken
,
E. Altamirano-Sanchez
,
C. Baerts
,
S. Brus
,
J. De Backer
,
C. Delvaux
,
M. Demand
,
N. Horiguchi
,
S. Locorotondo
,
T. Vandeweyer
,
A. Veloso
,
S. Verhaegen
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 624 KB
Your tags:
english, 2010
183
Detailed resist film modeling in stochastic lithography simulation for line-edge roughness quantification
G.P. Patsis
,
D. Drygiannakis
,
I. Raptis
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 530 KB
Your tags:
english, 2010
184
Effective polarization control of metallic planar chiral metamaterials with complementary rosette pattern fabricated by nanoimprint lithography
Shao-Ren Deng
,
Bing-Rui Lu
,
Bi-Qing Dong
,
Jing Wan
,
Zhen Shu
,
Jing Xue
,
Yifang Chen
,
Ejaz Huq
,
Ran Liu
,
Xin-Ping Qu
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 903 KB
Your tags:
english, 2010
185
Silicon microcantilevers with MOSFET detection
Giordano Tosolini
,
Guillermo Villanueva
,
Francesc Perez-Murano
,
Joan Bausells
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 392 KB
Your tags:
english, 2010
186
Incident angle dependent damage of PMMA during Ar+-ion beam etching
Sandra Wolff
,
Bert Lägel
,
Stefan Trellenkamp
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 312 KB
Your tags:
english, 2010
187
Cell imprinting and AFM imaging of cells cultured on nanoline patterns
X.T. Zhou
,
F. Zhang
,
J. Hu
,
X. Li
,
X.M. Ma
,
Y. Chen
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 414 KB
Your tags:
english, 2010
188
Projection lithography to print thick resist patterns with triangular and semi-circular cross-section profiles
Toshiyuki Horiuchi
,
Takashi Kashiwagi
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 689 KB
Your tags:
english, 2010
189
Fabrication of a 3D stamp with the micro- and nano-scale patterns through combined NIL and optical lithography processes
Sooyeon Park
,
Geehong Kim
,
Keebong Choi
,
Jaejong Lee
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 1.14 MB
Your tags:
english, 2010
190
Emission control of colloidal nanocrystals embedded in Si3N4 photonic crystal H1 nanocavities
A. Qualtieri
,
F. Pisanello
,
M. Grande
,
T. Stomeo
,
L. Martiradonna
,
G. Epifani
,
A. Fiore
,
A. Passaseo
,
M. De Vittorio
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 353 KB
Your tags:
english, 2010
191
Nanoscale surface engineering of PDMS by embedding vertical nanosheets of materials
L. Jalabert
,
C. Bottier
,
M. Kumemura
,
H. Fujita
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 452 KB
Your tags:
english, 2010
192
Self-similar organization of arrays of individual carbon nanotubes and carbon nanotube micropillars
Michaël F.L. De Volder
,
Daniel O. Vidaud
,
Eric R. Meshot
,
Sameh Tawfick
,
A. John Hart
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 2.63 MB
Your tags:
english, 2010
193
Batch fabrication of cantilever array aperture probes for scanning near-field optical microscopy
Y. Zhang
,
K.E. Docherty
,
J.M.R. Weaver
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 493 KB
Your tags:
english, 2010
194
Highly photostable solid-state organic distributed feedback laser fabricated via thermal nanoimprint lithography
V. Trabadelo
,
A. Juarros
,
A. Retolaza
,
S. Merino
,
M.G. Ramírez
,
V. Navarro-Fuster
,
J.M. Villalvilla
,
P.G. Boj
,
J.A. Quintana
,
M.A. Díaz-García
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 326 KB
Your tags:
english, 2010
195
Wettability control using large-area nanostructured film
K. Kurihara
,
Y. Suzuki
,
K. Suto
,
N. Shiba
,
T. Nakano
,
J. Tominaga
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 487 KB
Your tags:
english, 2010
196
4-D dielectrophoretic handling of Janus particles in a microfluidic chip
T. Honegger
,
O. Lecarme
,
K. Berton
,
D. Peyrade
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 839 KB
Your tags:
english, 2010
197
Shape-controlled microlens arrays fabricated by diffuser lithography
Jie-Ming Kang
,
Mau-Kuo Wei
,
Hung-Yi Lin
,
Juin-Haw Lee
,
Hoang-Yan Lin
,
Jen-Hui Tsai
,
Tung-Chuan Wu
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 339 KB
Your tags:
english, 2010
198
Double layer resist process scheme for metal lift-off with application in inductive heating of microstructures
L. Ouattara
,
M. Knutzen
,
S. Keller
,
M.F. Hansen
,
A. Boisen
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 434 KB
Your tags:
english, 2010
199
Acid diffusion effects between resists in freezing processes used for contact hole patterning
Jürgen Fuhrmann
,
André Fiebach
,
Andreas Erdmann
,
Peter Trefonas
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 799 KB
Your tags:
english, 2010
200
Optimization of reactive ion etching processes using desirability
Stephan Brabender
,
Klaus T. Kallis
,
Lars O. Keller
,
Remigius R. Poloczek
,
Horst L. Fiedler
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 230 KB
Your tags:
english, 2010
201
Channel height dependent protein nucleation and crystal growth in microfluidic devices
Malika Lounaci
,
Yong Chen
,
Pascal Rigolet
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 285 KB
Your tags:
english, 2010
202
Optical force measurement system with mirror probe for nanoprobing inside a scanning electron microscope
Kai Arstila
,
Thomas Hantschel
,
Stephan Kleindiek
,
Jochen Sterr
,
Quentin Vaquette
,
Cindy Demeulemeester
,
Wilfried Vandervorst
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 246 KB
Your tags:
english, 2010
203
Electron beam lithography on cylindrical roller
Shih Chun Tseng
,
Wen Yang Peng
,
Yi Fan Hsieh
,
Ping Jen Lee
,
Wen Lang Lai
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 732 KB
Your tags:
english, 2010
204
CMOS integrated radio frequency dome resonator
Wenzhe Zhou
,
Joshua D. Cross
,
Maxim Zalalutdinov
,
Bojan Ilic
,
Jeffrey W. Baldwin
,
Brain H. Houston
,
Harold G. Craighead
,
Jeevak M. Parpia
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 356 KB
Your tags:
english, 2010
205
Parallel and high sensitive photonic crystal cavity assisted read-out for DNA-chips
Ferruccio Pisanello
,
Luigi Martiradonna
,
Pier Paolo Pompa
,
Tiziana Stomeo
,
Antonio Qualtieri
,
Giuseppe Vecchio
,
Stefania Sabella
,
Massimo De Vittorio
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 470 KB
Your tags:
english, 2010
206
New approach to estimate nanowear test results through nanoindentation test
M.A. Ekwińska
,
Z. Rymuza
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 469 KB
Your tags:
english, 2010
207
Flexible and tactile sensor based on a photosensitive polymer
Ji-Chang Lee
,
Dong-Weon Lee
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 1.08 MB
Your tags:
english, 2010
208
Double-fin FETs based on standard CMOS approach
Michał Zaborowski
,
Daniel Tomaszewski
,
Andrzej Panas
,
Piotr Grabiec
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 520 KB
Your tags:
english, 2010
209
Nanostructures for all-polymer microfluidic systems
Maria Matschuk
,
Henrik Bruus
,
Niels B. Larsen
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 372 KB
Your tags:
english, 2010
210
Contact and proximity lithography using 193 nm Excimer laser in Mask Aligner
S. Partel
,
S. Zoppel
,
P. Hudek
,
A. Bich
,
U. Vogler
,
M. Hornung
,
R. Voelkel
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 592 KB
Your tags:
english, 2010
211
Selective microfabrication of silver electrodes inside a microchannel by multiphase laminar flow with density difference
Seung Ho Paek
,
Young Ki Choi
,
Dong Sung Kim
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 507 KB
Your tags:
english, 2010
212
Fabrication for metal photomask pattern by using fountain-pen nanolithography
Miki Onoue
,
Hirobumi Ushijima
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 380 KB
Your tags:
english, 2010
213
Novel SThM nanoprobe for thermal properties investigation of micro- and nanoelectronic devices
P. Janus
,
D. Szmigiel
,
M. Weisheit
,
G. Wielgoszewski
,
Y. Ritz
,
P. Grabiec
,
M. Hecker
,
T. Gotszalk
,
P. Sulecki
,
E. Zschech
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 516 KB
Your tags:
english, 2010
214
The creep behaviour of the microfabricated silicon diaphragms at 900 °C
Juan Ren
,
Peter Kinnell
,
Martin Geear
,
Mike Ward
,
Russell Craddock
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 458 KB
Your tags:
english, 2010
215
Third dimension of proximity effect correction (PEC)
Nezih Unal
,
Diana Mahalu
,
Olga Raslin
,
Daniel Ritter
,
Christoph Sambale
,
Ulrich Hofmann
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 332 KB
Your tags:
english, 2010
216
Influence of process parameters on hydrogen silsesquioxane chemistry at low voltage electron beam exposures
David Rio
,
Laurent Siegert
,
Samir Derrough
,
Christophe Constancias
,
Béatrice Icard
,
Herman Meynen
,
Laurent Pain
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 386 KB
Your tags:
english, 2010
217
Pattern definition through guided self-assembly in thermal nanoimprint
Andre Mayer
,
Nicolas Bogdanski
,
Saskia Möllenbeck
,
Hella-Christin Scheer
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 253 KB
Your tags:
english, 2010
218
Trapping of hyaluronic acid molecules on sharp nano-electrodes of various metals
H. Takeuchi
,
T. Mineta
,
E. Makino
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 808 KB
Your tags:
english, 2010
219
Enhanced particle focusing in microfluidic channels with standing surface acoustic waves
Q. Zeng
,
H.W.L. Chan
,
X.Z. Zhao
,
Y. Chen
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 876 KB
Your tags:
english, 2010
220
Sub-micron imaging on high-topography wafers using spray coating and projection lithography
Pascale Maury
,
Jean-Marie Quemper
,
Stephane Pocas
,
Dick Van Vliet
,
Nico Noordam
,
Peter ten Berge
,
Keith Best
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 350 KB
Your tags:
english, 2010
221
SiGe based grating light valves: A leap towards monolithic integration of MOEMS
S. Rudra
,
J. Roels
,
G. Bryce
,
L. Haspeslagh
,
A. Witvrouw
,
D. Van Thourhout
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 501 KB
Your tags:
english, 2010
222
Plasma enhanced chemical vapor deposition grown carbon nanotubes from ferritin catalyst for neural stimulation microelectrodes
M. Häffner
,
K. Schneider
,
B.-E. Schuster
,
B. Stamm
,
F. Latteyer
,
M. Fleischer
,
C. Burkhardt
,
T. Chassé
,
A. Stett
,
D.P. Kern
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 394 KB
Your tags:
english, 2010
223
High resolution and hybrid patterning for single cell attachment
J. Hu
,
J. Shi
,
F. Zhang
,
L. Lei
,
X. Li
,
L. Wang
,
L. Liu
,
Y. Chen
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 389 KB
Your tags:
english, 2010
224
Inverse problem solving and optical index determination of resist films by ellipsometry
J.H. Tortai
,
A. Akbalik Rapine
,
S. Soulan
,
P. Schiavone
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 464 KB
Your tags:
english, 2010
225
Micromachining of a newly designed AFM probe integrated with hollow microneedle for cellular function analysis
Norihisa Kato
,
Takahiro Kawashima
,
Takayuki Shibata
,
Takashi Mineta
,
Eiji Makino
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 444 KB
Your tags:
english, 2010
226
Resonant surface roughness interactions in planar superlenses
Mikkel Schøler
,
Richard J. Blaikie
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 298 KB
Your tags:
english, 2010
227
Nanoimprinted plasmonic crystals for light extraction applications
V. Reboud
,
N. Kehagias
,
T. Kehoe
,
G. Leveque
,
C. Mavidis
,
M. Kafesaki
,
C.M. Sotomayor Torres
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 416 KB
Your tags:
english, 2010
228
Tunable nano devices fabricated by controlled deposition of gold nanoparticles via focused ion beam
Asaf Shahmoon
,
Ofer Limon
,
Olga Girshevitz
,
Yafit Fleger
,
Hilmi Volkan Demir
,
Zeev Zalevsky
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 490 KB
Your tags:
english, 2010
229
High optical quality site-controlled quantum dots
T.J. Pfau
,
A. Gushterov
,
J.P. Reithmaier
,
I. Cestier
,
G. Eisenstein
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 348 KB
Your tags:
english, 2010
230
Influence of the electrode radius on the impedance spectra of cell-covered disc electrode
Sungbo Cho
,
Hagen Thielecke
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 290 KB
Your tags:
english, 2010
231
Temperature-dependent photoluminescent characteristics of lateral InGaAs quantum dot molecules
S. Kanjanachuchai
,
N. Thudsalingkarnsakul
,
N. Siripitakchai
,
P. Changmoang
,
S. Thainoi
,
S. Panyakeow
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 370 KB
Your tags:
english, 2010
232
Surface plasmon enhanced transmission through gold planar crystals with various aperture arrangements
Jing Xue
,
Bi-Qin Dong
,
Xu Wang
,
Rong Yang
,
Zhen-Cheng Xu
,
Yifang Chen
,
Ejaz Huq
,
Wei Zeng
,
Xin-Ping Qu
,
Ran Liu
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 637 KB
Your tags:
english, 2010
233
A new method of optical interconnection for multiply stacked planar optical circuit boards using 45° reflection coupling
Hyun-Shik Lee
,
Shinmo An
,
El-Hnag Lee
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 308 KB
Your tags:
english, 2010
234
Optimal design and fabrication of ITO/organic photonic crystals in polymer light-emitting diodes using a focused ion beam
Che-Hung Tsai
,
Lun-De Liao
,
Yi-Shiun Luo
,
Paul C.-P. Chao
,
En-Chen Chen
,
Hsin-Fei Meng
,
Wei-Dar Chen
,
Shir-Kuan Lin
,
Chin-Teng Lin
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 429 KB
Your tags:
english, 2010
235
A new simple method of fabricating micro/nano-scale polymer optical wires on a copper plate
Hyun-Shik Lee
,
Keum Soo Jeon
,
Insu Park
,
Shinmo An
,
El-Hnag Lee
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 361 KB
Your tags:
english, 2010
236
Influence of the dose distribution on resist development properties
M.A. Knyazev
,
A.A. Svintsov
,
S.I. Zaitsev
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 371 KB
Your tags:
english, 2010
237
Influences of embossing technology on Pb(Zr0.3,Ti0.7)O3 ferroelectric thin film
Zhen-Kui Shen
,
Zhi-Hui Chen
,
Zhi-Jun Qiu
,
Bing-rui Lu
,
Jing Wan
,
Shao-Ren Deng
,
An-Quan Jiang
,
Xin-Ping Qu
,
Ran Liu
,
Yifang Chen
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 456 KB
Your tags:
english, 2010
238
Technique for transfer of high-density, high-aspect-ratio nanoscale patterns in UV nanoimprint lithography and measurement of the release force
Jun Taniguchi
,
Yasuhiro Kamiya
,
Takeshi Ohsaki
,
Nobuji Sakai
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 801 KB
Your tags:
english, 2010
239
Monolithically integrated μ-capillary electrophoresis with organic light sources and tunable a-Si:H multispectral photodiodes for fluorescence detection
Christian Merfort
,
Konstantin Seibel
,
Krystian Watty
,
Markus Böhm
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 365 KB
Your tags:
english, 2010
240
Fabrication of Fresnel zone plates with 25 nm zone width using extreme ultraviolet holography
Sankha S. Sarkar
,
Harun H. Solak
,
Jörg Raabe
,
Christian David
,
J. Friso van der Veen
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 484 KB
Your tags:
english, 2010
241
Implementation of surface plasmon resonance planar waveguide sensor system
Sung-Hoon Hong
,
Chang-Kyeng Kong
,
Bo-Soon Kim
,
Min-Woo Lee
,
Seung-Gol Lee
,
Se-Geun Park
,
El-Hang Lee
,
Beom-Hoan O
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 686 KB
Your tags:
english, 2010
242
Adiabatic compression of surface plasmon polaritons for label-free few molecules detection by means of Raman scattering
F. De Angelis
,
G. Das
,
P. Candeloro
,
C. Liberale
,
F. Mecarini
,
A. Pujia
,
E. Di Fabrizio
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 389 KB
Your tags:
english, 2010
243
Fabrication of a conductive nanoscale electrode for functional devices using nanoimprint lithography with printable metallic nanoink
YoungJa Kim
,
GeeHong Kim
,
JaeJong Lee
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 406 KB
Your tags:
english, 2010
244
Extended optical properties beyond band-edge of GaAs by InAs quantum dots and quantum dot molecules
O. Tangmettajittakul
,
S. Thainoi
,
P. Changmoang
,
S. Kanjanachuchai
,
S. Rattanathammaphan
,
S. Panyakeow
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 323 KB
Your tags:
english, 2010
245
Feasibility study on cellular network analysis with patterned cell culture microdevice
Takahiro Kawashima
,
Tsuyoshi Kimura
,
Takayuki Shibata
,
Akio Kishida
,
Takashi Mineta
,
Eiji Makino
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 503 KB
Your tags:
english, 2010
246
Soft-lithographic methods for the fabrication of dielectrophoretic devices using molds by proton beam writing
Y. Shiine
,
H. Nishikawa
,
Y. Furuta
,
K. Kanamitsu
,
T. Satoh
,
Y. Ishii
,
T. Kamiya
,
R. Nakao
,
S. Uchida
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 573 KB
Your tags:
english, 2010
247
Direct FIB fabrication and integration of “single nanopore devices” for the manipulation of macromolecules
B. Schiedt
,
L. Auvray
,
L. Bacri
,
G. Oukhaled
,
A. Madouri
,
E. Bourhis
,
G. Patriarche
,
J. Pelta
,
R. Jede
,
J. Gierak
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 539 KB
Your tags:
english, 2010
248
Surface plasmon polariton coupling induced transmission of subwavelength metallic grating with waveguide layer
Zhen-Cheng Xu
,
Biqin Dong
,
Jing Xue
,
Rong Yang
,
Bing-Rui Lu
,
Shaoren Deng
,
Zhi-Feng Li
,
Wei Lu
,
Yifang Chen
,
Ejaz Huq
,
Xin-Ping Qu
,
Ran Liu
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 406 KB
Your tags:
english, 2010
249
Inside Front Cover - Editorial Board
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 28 KB
Your tags:
english, 2010
250
Table of Contents
Journal:
Microelectronic Engineering
Year:
2010
Language:
english
File:
PDF, 127 KB
Your tags:
english, 2010
1
Follow
this link
or find "@BotFather" bot on Telegram
2
Send /newbot command
3
Specify a name for your chatbot
4
Choose a username for the bot
5
Copy an entire last message from BotFather and paste it here
×
×