books search
books
articles search
articles
Donate
Log In
Log In
to access more features
personal recommendations
Telegram Bot
download history
send to Email or Kindle
manage booklists
save to favorites
Personal
Book Requests
Explore
Journals
Contribution
Donate
Litera Library
Donate paper books
Add paper books
Open LITERA Point
Volume 83; Issue 4-9
Main
Microelectronic Engineering
Volume 83; Issue 4-9
Microelectronic Engineering
Volume 83; Issue 4-9
1
Novel metrology methods for image quality control
Takeaki Ebihara
,
Yoshihiro Shiode
,
Takashi Yoshikawa
,
Naoki Ayata
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 572 KB
Your tags:
english, 2006
2
The ending of optical lithography and the prospects of its successors
Burn J. Lin
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 644 KB
Your tags:
english, 2006
3
Protected nanoelectrodes of two different metals with 30 nm gapwidth and access window
S. Kronholz
,
S. Karthäuser
,
G. Mészáros
,
Th. Wandlowski
,
A. van der Hart
,
R. Waser
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 156 KB
Your tags:
english, 2006
4
Fabrication of two-dimensional photonic crystals with embedded defects using blue-laser-writer and holography
Tao Liu
,
Mahmoud Fallahi
,
Jerome V. Moloney
,
Masud Mansuripur
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 301 KB
Your tags:
english, 2006
5
Fabrication of SOI photonic crystal slabs by soft UV-nanoimprint lithography
Michele Belotti
,
Jérémi Torres
,
Emmanuel Roy
,
Anne Pépin
,
Dario Gerace
,
Lucio C. Andreani
,
Matteo Galli
,
Yong Chen
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 502 KB
Your tags:
english, 2006
6
A study of 193-nm immersion lithography using novel high refractive index fluids
Julius Santillan
,
Akihiko Otoguro
,
Toshiro Itani
,
Kiyoshi Fujii
,
Akifumi Kagayama
,
Takashi Nakano
,
Norio Nakayama
,
Hiroaki Tamatani
,
Shin Fukuda
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 129 KB
Your tags:
english, 2006
7
Adhesion improvement of ArF resist pattern depending on BARC material
Akira Kawai
,
Takahiro Moriuchi
,
Takayoshi Niiyama
,
Takahiro Kishioka
,
Daisuke Maruyama
,
Yasushi Sakaida
,
Takashi Matsumoto
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 163 KB
Your tags:
english, 2006
8
The role of ambient hydrocarbon species to reduce oxidation in Ru capping layers for EUVL optics mirrors
Yoshio Gomei
,
Y. Kakutani
,
H. Takase
,
M. Niibe
,
S. Terashima
,
T. Aoki
,
S. Matsunari
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 173 KB
Your tags:
english, 2006
9
Exposing extreme ultraviolet lithography at Intel
Jeanette Roberts
,
Terence Bacuita
,
Robert L. Bristol
,
Heidi Cao
,
Manish Chandhok
,
Sang H. Lee
,
Michael Leeson
,
Ted Liang
,
Eric Panning
,
Bryan J. Rice
,
Uday Shah
,
Melissa Shell
,
Wang Yueh
,
Guojing Zhan
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 243 KB
Your tags:
english, 2006
10
Flying phase mask for the printing of long submicron-period stitchingless gratings
E. Gamet
,
Y. Jourlin
,
S. Pelissier
,
R. Min
,
S. Reynaud
,
C. Veillas
,
J.-C. Pommier
,
O. Parriaux
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 206 KB
Your tags:
english, 2006
11
Limitations to low-voltage focused ion beam operation
Michael Rauscher
,
Karin Marianowski
,
Bernhard Degel
,
Erich Plies
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 194 KB
Your tags:
english, 2006
12
AFM characterization of anti-sticking layers used in nanoimprint
J. Tallal
,
M. Gordon
,
K. Berton
,
A.L. Charley
,
D. Peyrade
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 209 KB
Your tags:
english, 2006
13
Nanometre scale overlay and stitch metrology using an optical microscope
D.S. Macintyre
,
S. Thoms
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 150 KB
Your tags:
english, 2006
14
High-speed data storage and processing for projection mask-less lithography systems
Sven-Hendrik Voss
,
Maati Talmi
,
Juergen Saniter
,
Juergen Eindorf
,
Alexander Reisig
,
Joachim Heinitz
,
Ernst Haugeneder
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 142 KB
Your tags:
english, 2006
15
Critical failure ORC: Improving model accuracy through enhanced model generation
Amandine Borjon
,
Jérôme Belledent
,
Yorick Trouiller
,
Kyle Patterson
,
Kevin Lucas
,
Christian Gardin
,
Christophe Couderc
,
Yves Rody
,
Frank Sundermann
,
Jean-Christophe Urbani
,
Stanislas Baron
,
Frank Fous
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 1.32 MB
Your tags:
english, 2006
16
Electron-beam lithography simulation for the fabrication of EUV masks
George P. Patsis
,
Nikos Tsikrikas
,
Ioanis Raptis
,
Nikos Glezos
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 135 KB
Your tags:
english, 2006
17
Comparison of multilayer stamp concepts in UV–NIL
Ulrich Plachetka
,
Markus Bender
,
Andreas Fuchs
,
Thorsten Wahlbrink
,
Thomas Glinsner
,
Heinrich Kurz
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 325 KB
Your tags:
english, 2006
18
Multi-stacked MEMS nano-membranes for coherent extreme ultraviolet emission
Pavel Ustyuzhanin
,
Yisrael Sundick
,
Gil Geva-Mittelberg
,
Yashesh Shroff
,
Michael Goldstein
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 225 KB
Your tags:
english, 2006
19
Novel hybrid mask mold for combined nanoimprint and photolithography technique
Kanghun Moon
,
Banglim Choi
,
In-Sung Park
,
Sunghun Hong
,
Kihyun Yang
,
Heon Lee
,
Jinho Ahn
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 278 KB
Your tags:
english, 2006
20
Fabrication of micro-photonic devices using embossing technique
C.H. Choi
,
M.W. Lee
,
B.H. O
,
S.G. Lee
,
S.G. Park
,
E.H. Lee
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 187 KB
Your tags:
english, 2006
21
Micro-fluidic analysis based on total internal light reflection
Y. Sarov
,
K. Ivanova
,
Tzv. Ivanov
,
B.E. Volland
,
I.W. Rangelow
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 197 KB
Your tags:
english, 2006
22
Simulation of DOE fabrication using DMD-based gray-tone lithography
Xiaowei Guo
,
Jinglei Du
,
Yongkang Guo
,
Chunlei Du
,
Zheng Cui
,
Jun Yao
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 209 KB
Your tags:
english, 2006
23
Design and fabrication of an electrostatically driven microgripper for blood vessel manipulation
R. Wierzbicki
,
K. Houston
,
H. Heerlein
,
W. Barth
,
T. Debski
,
A. Eisinberg
,
A. Menciassi
,
M.C. Carrozza
,
P. Dario
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 170 KB
Your tags:
english, 2006
24
Surface structuring of textile fibers using roll embossing
Helmut Schift
,
Marcel Halbeisen
,
Urs Schütz
,
Benjamin Delahoche
,
Konrad Vogelsang
,
Jens Gobrecht
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 242 KB
Your tags:
english, 2006
25
A fast development simulation algorithm for discrete resist models
Thomas Schnattinger
,
Eberhard Bär
,
Andreas Erdmann
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 267 KB
Your tags:
english, 2006
26
Design and analysis of phase gratings for laser beams coherent combination
Boliang Luo
,
Chengcheng Wang
,
Jinglei Du
,
Chi Ma
,
Yongkang Guo
,
Jun Yao
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 244 KB
Your tags:
english, 2006
27
Microfabrication and properties of the meta-materials
Baogang Quan
,
Xinlong Xu
,
Xiaoxiang Xia
,
Haifang Yang
,
Li Wang
,
Zheng Cui
,
Changzhi Gu
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 186 KB
Your tags:
english, 2006
28
Interface-engineered EUV multilayer mirrors
Sergiy Yulin
,
Nicolas Benoit
,
Torsten Feigl
,
Norbert Kaiser
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 239 KB
Your tags:
english, 2006
29
Benchmark of a lithography simulation tool for next generation applications
B. Tollkühn
,
M. Uhle
,
J. Fuhrmann
,
K. Gärtner
,
A. Heubner
,
A. Erdmann
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 332 KB
Your tags:
english, 2006
30
Response of super-paramagnetic beads in microfluidic devices with integrated magnetic micro-columns
S.S. Guo
,
C.C. Zuo
,
W.H. Huang
,
C. Peroz
,
Y. Chen
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 558 KB
Your tags:
english, 2006
31
Characterization of extreme ultraviolet resists with interference lithography
Roel Gronheid
,
Harun H. Solak
,
Yasin Ekinci
,
Amandine Jouve
,
Frieda Van Roey
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 248 KB
Your tags:
english, 2006
32
Surface relief polymer structures grafted onto polymer films
Celestino Padeste
,
Patrick Farquet
,
Harun H. Solak
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 214 KB
Your tags:
english, 2006
33
One nanometer structure fabrication using electron beam induced deposition
W.F. van Dorp
,
C.W. Hagen
,
P.A. Crozier
,
B. van Someren
,
P. Kruit
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 159 KB
Your tags:
english, 2006
34
Nanoscale TiN metal gate technology for CMOS integration
M.C. Lemme
,
J.K. Efavi
,
T. Mollenhauer
,
M. Schmidt
,
H.D.B. Gottlob
,
T. Wahlbrink
,
H. Kurz
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 260 KB
Your tags:
english, 2006
35
Single-molecule transistor fabrication by self-aligned lithography and in situ molecular assembly
J. Tang
,
E.P. De Poortere
,
J.E. Klare
,
C. Nuckolls
,
S.J. Wind
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 169 KB
Your tags:
english, 2006
36
Manufacture of micro-sensors and actuators for flow control
G.G. Arthur
,
B.J. McKeon
,
S.S. Dearing
,
J.F. Morrison
,
Z. Cui
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 263 KB
Your tags:
english, 2006
37
UV sensing using surface acoustic wave device on DC sputtered ZnO monolayer
Leo P. Schuler
,
Maan M. Alkaisi
,
Paul Miller
,
Roger J. Reeves
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 318 KB
Your tags:
english, 2006
38
Modeling reaction-transport processes in a microcapillary biosensor for detection of human IgG
Michal Přibyl
,
Veronika Knápková
,
Dalimil Šnita
,
Miloš Marek
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 224 KB
Your tags:
english, 2006
39
Spin-polarized edge states of quantum Hall systems on silicon basis
Carsten Kentsch
,
Wolfgang Henschel
,
David Wharam
,
Dieter P. Kern
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 488 KB
Your tags:
english, 2006
40
Nanoelectrode arrays for on-chip manipulation of biomolecules in aqueous solutions
Cheng-Ping Luo
,
Andreas Heeren
,
Wolfgang Henschel
,
Dieter P. Kern
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 432 KB
Your tags:
english, 2006
41
Self-assembled lateral InAs quantum dot molecules: Dot ensemble control and polarization-dependent photoluminescence
S. Suraprapapich
,
S. Kanjanachuchai
,
S. Thainoi
,
S. Panyakeow
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 245 KB
Your tags:
english, 2006
42
Self-organization of nano-void array for photonic crystal device
Eiji Toratani
,
Masanao Kamata
,
Minoru Obara
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 180 KB
Your tags:
english, 2006
43
Molecular motors-based micro- and nano-biocomputation devices
Dan V. Nicolau
,
Dan V. Nicolau Jr.
,
Gerardin Solana
,
Kristi L. Hanson
,
Luisa Filipponi
,
Lisen Wang
,
Abraham P. Lee
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 569 KB
Your tags:
english, 2006
44
Scanning proximity probes for nanoscience and nanofabrication
Ivo W. Rangelow
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 515 KB
Your tags:
english, 2006
45
Study on LIDT of MDGs for different fabrication processes
Weijin Kong
,
Shijie Liu
,
Jian Shen
,
Zicai Shen
,
Jianda Shao
,
Zhengxiu Fan
,
Jun Yao
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 419 KB
Your tags:
english, 2006
46
A high performance microfluidic system integrated with the micropump and microvalve on the same substrate
Jong-Chul Yoo
,
Min-Chul Moon
,
Y.J. Choi
,
C.J. Kang
,
Yong-Sang Kim
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 194 KB
Your tags:
english, 2006
47
Fabrication of actuator for nanopositioning using laser micro-machining
V. Lendraitis
,
M. Brikas
,
V. Snitka
,
V. Mizarienė
,
G. Raciukaitis
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 211 KB
Your tags:
english, 2006
48
Metal printing of copper interconnects down to 500 nm using ECPR – Electrochemical pattern replication
P. Möller
,
M. Fredenberg
,
M. Dainese
,
C. Aronsson
,
P. Leisner
,
M. Östling
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 1.63 MB
Your tags:
english, 2006
49
Fabrication and characterisation of nanoscale programmed defects for EUV lithography
Raluca Tiron
,
Celine De Nadaï
,
Christophe Constancias
,
Jean-Yves Robic
,
Jean-Philippe Gouy
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 193 KB
Your tags:
english, 2006
50
Design and fabrication of active and passive photonic crystal resonators
T. Stomeo
,
V. Errico
,
A. Salhi
,
A. Passaseo
,
R. Cingolani
,
A. D’Orazio
,
M. De Sario
,
V. Marrocco
,
V. Petruzzelli
,
F. Prudenzano
,
M. De Vittorio
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 190 KB
Your tags:
english, 2006
51
Arbitrarily profiled 3D polymer MEMS through Si micro-moulding and bulk micromachining
Michael P. Larsson
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 386 KB
Your tags:
english, 2006
52
Fabrication of curved-line nanostructures on membranes for transmission electron microscopy investigations of domain walls
D. Backes
,
L.J. Heyderman
,
C. David
,
R. Schäublin
,
M. Kläui
,
H. Ehrke
,
U. Rüdiger
,
C.A.F. Vaz
,
J.A.C. Bland
,
T. Kasama
,
R.E. Dunin-Borkowski
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 594 KB
Your tags:
english, 2006
53
Thickness-dependent glass transition temperature of thin resist films for high resolution lithography
S. Marceau
,
J.-H. Tortai
,
J. Tillier
,
N. Vourdas
,
E. Gogolides
,
I. Raptis
,
K. Beltsios
,
K. van Werden
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 509 KB
Your tags:
english, 2006
54
Vertical integrated-gate CMOS for ultra-dense IC
Yijian Chen
,
Albert Chu
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 416 KB
Your tags:
english, 2006
55
Strategies for integration of donor electron spin qubits in silicon
T. Schenkel
,
J.A. Liddle
,
J. Bokor
,
A. Persaud
,
S.J. Park
,
J. Shangkuan
,
C.C. Lo
,
S. Kwon
,
S.A. Lyon
,
A.M. Tyryshkin
,
I.W. Rangelow
,
Y. Sarov
,
D.H. Schneider
,
J. Ager
,
R. de Sousa
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 241 KB
Your tags:
english, 2006
56
Practical development and implementation of 193 nm immersion lithography
Martin McCallum
,
Masaomi Kameyama
,
Soichi Owa
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 117 KB
Your tags:
english, 2006
57
Three dimensional HSQ structures formed using multiple low energy electron beam lithography
Euan J. Boyd
,
Richard J. Blaikie
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 456 KB
Your tags:
english, 2006
58
GaN nanorod assemblies on self-implanted (1 1 1) Si substrates
H.W. Seo
,
Q.Y. Chen
,
L.W. Tu
,
M. Chen
,
X.M. Wang
,
C.L. Hsiao
,
Y.J. Tu
,
L. Shao
,
O. Lozano
,
Wei-Kan Chu
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 305 KB
Your tags:
english, 2006
59
Compact micropumping system based on LIGA fabricated microparts
M. Matteucci
,
F. Pérennès
,
B. Marmiroli
,
P. Miotti
,
L. Vaccari
,
A. Gosparini
,
A. Turchet
,
E. Di Fabrizio
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 168 KB
Your tags:
english, 2006
60
MNE 2005 Committees
Journal:
Microelectronic Engineering
Year:
2006
File:
PDF, 39 KB
Your tags:
2006
61
Fabrication and integration of VLSI micro/nano-photonic circuit board
El-Hang Lee
,
S.G. Lee
,
B.H. O
,
S.G. Park
,
M.Y. Chung
,
K.H. Kim
,
S.H. Song
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 363 KB
Your tags:
english, 2006
62
Cost of ownership – projecting the future
Walt Trybula
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 399 KB
Your tags:
english, 2006
63
Analysis of works of art down to the nanometric scale
Michel Menu
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 583 KB
Your tags:
english, 2006
64
Polyimide sacrificial layer for SOI SG-MOSFET pressure sensor
M. Fernández-Bolaños
,
N. Abelé
,
V. Pott
,
D. Bouvet
,
G-A. Racine
,
J.M. Quero
,
A.M. Ionescu
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 366 KB
Your tags:
english, 2006
65
Three-dimensional rotor fabrication by focused-ion-beam chemical-vapor-deposition
Jun-ya Igaki
,
Reo Kometani
,
Ken-ichiro Nakamatsu
,
Kazuhiro Kanda
,
Yuichi Haruyama
,
Yukinori Ochiai
,
Jun-ichi Fujita
,
Takashi Kaito
,
Shinji Matsui
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 421 KB
Your tags:
english, 2006
66
Removal mechanism of nano-bubble with AFM for immersion lithography
Akira Kawai
,
Kenta Suzuki
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 245 KB
Your tags:
english, 2006
67
Resonantly enhanced addressing of a spatial light modulator micro-mirror array
Ulric Ljungblad
,
Tomas Lock
,
Tor Sandstrom
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 205 KB
Your tags:
english, 2006
68
EUV multilayer optics
Torsten Feigl
,
Sergiy Yulin
,
Nicolas Benoit
,
Norbert Kaiser
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 250 KB
Your tags:
english, 2006
69
Performance of gas jet type Z-pinch plasma light source for EUV lithography
Inho Song
,
Yasunori Kobayashi
,
Toshiro Sakamoto
,
Smruti R. Mohanty
,
Masato Watanabe
,
Akitoshi Okino
,
Toru Kawamura
,
Koichi Yasuoka
,
Kazuhiko Horioka
,
Eiki Hotta
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 168 KB
Your tags:
english, 2006
70
Possibility to form an ultrahigh packed fine pit and dot arrays for future storage using EB writing
Sumio Hosaka
,
Hirotaka Sano
,
Kazuo Itoh
,
Hayato Sone
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 436 KB
Your tags:
english, 2006
71
Surface morphologies in polymers by irradiation with argon ions and consecutive swelling
Karsten Büscher
,
Rüdiger Berger
,
Wilhelm Brünger
,
Karlheinz Graf
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 233 KB
Your tags:
english, 2006
72
Patterning nanoscale features using the 2-step NERIME nanolithography process
S.F. Gilmartin
,
K. Arshak
,
D. Collins
,
O. Korostynska
,
A. Arshak
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 259 KB
Your tags:
english, 2006
73
Characterization of imprinting polymeric temperature variation with fluorescent Rhodamine B molecule
Fu-Hsiang Ko
,
Li-Yu Weng
,
Chu-Jung Ko
,
Tieh-Chi Chu
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 194 KB
Your tags:
english, 2006
74
Viscous flow simulation in nanoimprint using coarse-grain method
V. Sirotkin
,
A. Svintsov
,
S. Zaitsev
,
H. Schift
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 474 KB
Your tags:
english, 2006
75
Superhydrophobic surfaces fabricated by nanoimprint lithography
Alessandro Pozzato
,
Simone Dal Zilio
,
Giovanni Fois
,
Diego Vendramin
,
Giampaolo Mistura
,
Michele Belotti
,
Yong Chen
,
Marco Natali
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 300 KB
Your tags:
english, 2006
76
A new mask blank deposition tool
J. Dienelt
,
H. Neumann
,
M. Kramer
,
F. Scholze
,
B. Rauschenbach
,
M. Nestler
,
A. Tarraf
,
M. Schulze
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 664 KB
Your tags:
english, 2006
77
Linear optical encoders manufactured by imprint lithography
S. Merino
,
A. Retolaza
,
I. Lizuain
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 361 KB
Your tags:
english, 2006
78
Lossless high-speed data compression for optical interconnects as used in maskless lithography systems
Sven-Hendrik Voss
,
Maati Talmi
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 140 KB
Your tags:
english, 2006
79
Extended bidirectional reflectance distribution function for subsurface defects scattering
Jian Shen
,
Shijie Liu
,
Weijin Kong
,
Zicai Shen
,
Jianda Shao
,
Jun Yao
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 399 KB
Your tags:
english, 2006
80
Miniaturized electron gun for high-resolution scanning electron microscope using non-evaporable getter pumps
Souichi Katagiri
,
Takashi Ohshima
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 374 KB
Your tags:
english, 2006
81
Development of new resist materials for 193-nm dry and immersion lithography
Yoko Takebe
,
Takashi Sasaki
,
Naoko Shirota
,
Osamu Yokokoji
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 104 KB
Your tags:
english, 2006
82
Performance of molecular resist based on polyphenol in EUV lithography
Hiroaki Oizumi
,
Fumiaki Kumasaka
,
Yuusuke Tanaka
,
Taku Hirayama
,
Daiju Shiono
,
Hideo Hada
,
Junichi Onodera
,
Atsuko Yamaguchi
,
Iwao Nishiyama
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 511 KB
Your tags:
english, 2006
83
Fabricating and characterizing oblique polymer structures by electron beam writing on resist-coated SiO2 wafers
Fu-Hsiang Ko
,
Jem-Kun Chen
,
Feng-Chih Chang
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 318 KB
Your tags:
english, 2006
84
Reactive ion etching of glass for biochip applications: Composition effects and surface damages
Edouard Thiénot
,
Florian Domingo
,
Edmond Cambril
,
Charlie Gosse
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 265 KB
Your tags:
english, 2006
85
Aspect ratio dependent plasma polymer deposition of fluorocarbons
B.E. Volland
,
I.W. Rangelow
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 133 KB
Your tags:
english, 2006
86
Deeply etched waveguide structures for quantum cascade lasers
S. Schartner
,
S. Golka
,
C. Pflügl
,
W. Schrenk
,
G. Strasser
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 224 KB
Your tags:
english, 2006
87
Nanoscopic versus macroscopic C–V characterization of high-κ metal-oxide chemical vapor deposition ZrO2 thin films
S. Abermann
,
W. Brezna
,
J. Smoliner
,
E. Bertagnolli
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 200 KB
Your tags:
english, 2006
88
Minimization of residual layer thickness by using the optimized dispensing method in S-FILTM process
Ki-don Kim
,
Jun-ho Jeong
,
Young-suk Sim
,
Eung-sug Lee
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 264 KB
Your tags:
english, 2006
89
Effects of model polymer chain architectures and molecular weight of conventional and chemically amplified photoresists on line-edge roughness. Stochastic simulations
George P. Patsis
,
Evangelos Gogolides
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 357 KB
Your tags:
english, 2006
90
Near field analysis for periodic diffractive gratings using Fourier modal method
Fuhua Gao
,
Chengcheng Wang
,
Xionggui Tang
,
Chi Ma
,
Zheng Cui
,
Yongkang Guo
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 562 KB
Your tags:
english, 2006
91
Fabrication of a tensile test for polymer micromechanics
Udo Lang
,
Marcel Reichen
,
Jürg Dual
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 331 KB
Your tags:
english, 2006
92
Low surface energy films for microgripping applications
N. Balabanava
,
R. Wierzbicki
,
H. Heerlein
,
M. Zielecka
,
Z. Rymuza
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 244 KB
Your tags:
english, 2006
93
Microphotoluminescence perpendicular to the growth direction of quantum nanostructures
Ian Toft
,
Xiulai Xu
,
David Williams
,
R.T. Phillips
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 237 KB
Your tags:
english, 2006
94
Nanofabrication of Fresnel zone plate lenses for X-ray optics
Joan Vila-Comamala
,
Xavier Borrisé
,
Francesc Pérez-Murano
,
Juan Campos
,
Salvador Ferrer
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 630 KB
Your tags:
english, 2006
95
Laser micro machining of 3C–SiC single crystals
Sandra Zoppel
,
Maria Farsari
,
Robert Merz
,
Johann Zehetner
,
Günther Stangl
,
Georg A. Reider
,
Costas Fotakis
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 135 KB
Your tags:
english, 2006
96
Free-standing macroporous silicon membranes over a large cavity for filtering and lab-on-chip applications
Dimitrios N. Pagonis
,
Androula G. Nassiopoulou
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 509 KB
Your tags:
english, 2006
97
X-ray vortices with high topological charge
D. Cojoc
,
B. Kaulich
,
A. Carpentiero
,
S. Cabrini
,
L. Businaro
,
E. Di Fabrizio
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 778 KB
Your tags:
english, 2006
98
Fabrication of high power RF MEMS switches
Ling Wang
,
Zheng Cui
,
Jia-Sheng Hong
,
Eamon P. McErlean
,
Robert B. Greed
,
Daniel C. Voyce
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 190 KB
Your tags:
english, 2006
99
Effect of filler behavior on nanocomposite SU8 photoresist for moving micro-parts
S. Jiguet
,
M. Judelewicz
,
S. Mischler
,
A. Bertch
,
P. Renaud
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 345 KB
Your tags:
english, 2006
100
Deep etching of biocompatible silicone rubber
Dariusz Szmigiel
,
Krzysztof Domański
,
Piotr Prokaryn
,
Piotr Grabiec
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 241 KB
Your tags:
english, 2006
101
Resist parameter sensitivity analysis based on calibrated simulation for understanding resist limitations in next generation lithography
K. Elian
,
B. Ruppenstein
,
C. Noelscher
,
A. Heubner
,
T. Muelders
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 223 KB
Your tags:
english, 2006
102
30 nm Tungsten gates etched by a low damage ICP etching for the fabrication of compound semiconductor transistors
X. Li
,
X. Cao
,
H. Zhou
,
C.D.W. Wilkinson
,
S. Thoms
,
D. Macintyre
,
M. Holland
,
I.G. Thayne
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 288 KB
Your tags:
english, 2006
103
A low damage RIE process for the fabrication of compound semiconductor based transistors with sub-100 nm tungsten gates
X. Li
,
X. Cao
,
H. Zhou
,
C.D.W. Wilkinson
,
S. Thoms
,
D. Macintyre
,
M. Holland
,
I.G. Thayne
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 126 KB
Your tags:
english, 2006
104
Nano-imprint lithography: Templates, imprinting and wafer pattern transfer
W.J. Dauksher
,
N.V. Le
,
E.S. Ainley
,
K.J. Nordquist
,
K.A. Gehoski
,
S.R. Young
,
J.H. Baker
,
D. Convey
,
P.S. Mangat
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 317 KB
Your tags:
english, 2006
105
EUV resist simulation with rigorous mask computation and simplified resist models
A. Jouve
,
D. Fuard
,
V. Farys
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 143 KB
Your tags:
english, 2006
106
Fabrication of silicon vertical taper structures using KOH anisotropic etching
Roman Holly
,
Kurt Hingerl
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 220 KB
Your tags:
english, 2006
107
Silicon etch process options for micro- and nanotechnology using inductively coupled plasmas
C.C. Welch
,
A.L. Goodyear
,
T. Wahlbrink
,
M.C. Lemme
,
T. Mollenhauer
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 455 KB
Your tags:
english, 2006
108
Reactive oxide micro-molding of thick lenses containing diffractive optical elements
V.K. Parashar
,
A. Sayah
,
J.-B. Orhan
,
M.A.M. Gijs
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 185 KB
Your tags:
english, 2006
109
Characteristics of MOS diodes fabricated using sputter-deposited W or Cu/W films
Spiros Tsevas
,
Maria Vasilopoulou
,
Dimitrios N. Kouvatsos
,
Thanassis Speliotis
,
Dimitris Niarchos
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 221 KB
Your tags:
english, 2006
110
Projection lithography onto overall cylindrical surfaces
Kohei Hashimoto
,
Yoshihisa Kaneko
,
Toshiyuki Horiuchi
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 324 KB
Your tags:
english, 2006
111
Micro-gear fabrication using optical projection lithography on copper-clad plastic substrates and electroplating of nickel
Toshiyuki Horiuchi
,
Yusuke Furuuchi
,
Ryota Nakamura
,
Katsunori Hirota
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 403 KB
Your tags:
english, 2006
112
Development of perfect silicon corrugated diaphragm using anisotropic etching
Norhayati Soin
,
B.Y. Majlis
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 163 KB
Your tags:
english, 2006
113
PDMS microfluidic chip with integrated waveguides for optical detection
Markus Fleger
,
Andreas Neyer
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 178 KB
Your tags:
english, 2006
114
Filling of nano-via holes by laser-assisted direct imprint
Bo Cui
,
Wei Wu
,
Chris Keimel
,
Stephen Y. Chou
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 147 KB
Your tags:
english, 2006
115
Focused ion beam induced nanodot and nanofiber growth
C. Schoendorfer
,
A. Lugstein
,
E. Bertagnolli
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 334 KB
Your tags:
english, 2006
116
Nano-line width control and standards using Lateral Pattern Definition technique
Michał Zaborowski
,
Dariusz Szmigiel
,
Teodor Gotszalk
,
Katerina Ivanova
,
Yanko Sarov
,
Tzvetan Ivanov
,
Burkhard E. Volland
,
Ivo W. Rangelow
,
Piotr Grabiec
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 280 KB
Your tags:
english, 2006
117
Self-aligned tantalum oxide nanodot arrays through anodic alumina template
Chia-Tien Wu
,
Fu-Hsiang Ko
,
Hsin-Yen Hwang
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 483 KB
Your tags:
english, 2006
118
Directly patterning metal films by nanoimprint lithography with low-temperature and low-pressure
H.L. Chen
,
S.Y. Chuang
,
H.C. Cheng
,
C.H. Lin
,
T.C. Chu
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 191 KB
Your tags:
english, 2006
119
Multi-layered resist process in nanoimprint lithography for high aspect ratio pattern
Takaaki Konishi
,
Hisao Kikuta
,
Hiroaki Kawata
,
Yoshihiko Hirai
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 591 KB
Your tags:
english, 2006
120
Low energy electron beam microcolumn lithography
Ho Seob Kim
,
Young Chul Kim
,
Dae-Wook Kim
,
Seung Joon Ahn
,
Yong Jang
,
Hyung Woo Kim
,
Do Jin Seong
,
Kyoung Wan Park
,
Seong Soon Park
,
Byung Jin Kim
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 729 KB
Your tags:
english, 2006
121
Rapid and three-dimensional nanoimprint template fabrication technology using focused ion beam lithography
Jun Taniguchi
,
Kentaro Koga
,
Yasuo Kogo
,
Iwao Miyamoto
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 566 KB
Your tags:
english, 2006
122
Fabrication of high frequency and low-cost surface-acoustic wave filters using near field phase shift photolithography
Fu-Der Lai
,
H.M. Huang
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 242 KB
Your tags:
english, 2006
123
A novel MOEMS based adaptive optics for X-ray focusing
M.Y. Al Aioubi
,
P.D. Prewett
,
S.E. Huq
,
V. Djakov
,
A.G. Michette
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 338 KB
Your tags:
english, 2006
124
Self organized InAs quantum dots grown on patterned GaAs substrates
Matthias Schramboeck
,
W. Schrenk
,
T. Roch
,
A.M. Andrews
,
M. Austerer
,
G. Strasser
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 235 KB
Your tags:
english, 2006
125
S-layer-streptavidin fusion proteins and S-layer-specific heteropolysaccharides as part of a biomolecular construction kit for application in nanobiotechnology
Carina Huber
,
Eva M. Egelseer
,
Nicola Ilk
,
Uwe B. Sleytr
,
Margit Sára
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 226 KB
Your tags:
english, 2006
126
Porous silicon as drug carrier for controlled delivery of doxorubicin anticancer agent
Lisa Vaccari
,
Davide Canton
,
Nadia Zaffaroni
,
Raffaella Villa
,
Massimo Tormen
,
Enzo di Fabrizio
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 211 KB
Your tags:
english, 2006
127
Ordered SiGe islands on vicinal and pre-patterned Si(0 0 1) substrates
Z. Zhong
,
H. Lichtenberger
,
G. Chen
,
M. Mühlberger
,
C. Schelling
,
J. Myslivecek
,
A. Halilovic
,
J. Stangl
,
G. Bauer
,
W. Jantsch
,
F. Schäffler
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 473 KB
Your tags:
english, 2006
128
Conduction in ultra-thin SOI nanowires prototyped by FIB milling
Vincent Pott
,
Adrian M. Ionescu
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 215 KB
Your tags:
english, 2006
129
Fabrication of a 2.5 Gbps × 4 channel optical micro-module for O-PCB application
Hyun-Shik Lee
,
Shinmo An
,
Young Kim
,
Do-Kyoon Kim
,
Jin-Ku Kang
,
Young-Wan Choi
,
Seung Gol Lee
,
Beom Hoan O
,
El-Hang Lee
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 293 KB
Your tags:
english, 2006
130
EUV phase mask engineering based on image optimisation
A.M. Nugrowati
,
A.S. van de Nes
,
S.F. Pereira
,
J.J.M. Braat
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 174 KB
Your tags:
english, 2006
131
Front- to back-side overlay optimization after wafer bonding for 3D integration
Laurent Marinier
,
Wibo van Noort
,
Rudy Pellens
,
Budiman Sutedja
,
Ronald Dekker
,
Henk van Zeijl
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 570 KB
Your tags:
english, 2006
132
Directional scrolling of hetero-films on Si(1 1 0) and Si(1 1 1) surfaces
L. Zhang
,
E. Deckardt
,
A. Weber
,
C. Schönenberger
,
D. Grützmacher
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 205 KB
Your tags:
english, 2006
133
Investigation of FIB assisted CoSi2 nanowire growth
L. Bischoff
,
B. Schmidt
,
Ch. Akhmadaliev
,
A. Mücklich
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 284 KB
Your tags:
english, 2006
134
Mechanical characteristics of tungsten-containing carbon nanosprings grown by FIB-CVD
K. Nakamatsu
,
J. Igaki
,
M. Nagase
,
T. Ichihashi
,
S. Matsui
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 152 KB
Your tags:
english, 2006
135
Fabrication-induced disorder in structures for nanophotonics
Zoran Jakšić
,
Milan Maksimović
,
Olga Jakšić
,
Dana Vasiljević-Radović
,
Zoran Djurić
,
Aleksandar Vujanić
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 645 KB
Your tags:
english, 2006
136
Optical characterization of two-dimensional photonic crystals based on spectroscopic ellipsometry with rigorous coupled-wave analysis
Chun-Hung Lin
,
Hsuen-Li Chen
,
Wen-Chi Chao
,
Chung-I Hsieh
,
Wen-Huei Chang
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 620 KB
Your tags:
english, 2006
137
Simulation of ion beam direct structuring for 3D nanoimprint template fabrication
E. Platzgummer
,
A. Biedermann
,
H. Langfischer
,
S. Eder-Kapl
,
M. Kuemmel
,
S. Cernusca
,
H. Loeschner
,
C. Lehrer
,
L. Frey
,
A. Lugstein
,
E. Bertagnolli
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 564 KB
Your tags:
english, 2006
138
Progress in gene assembly from a MAS-driven DNA microarray
C. Kim
,
J. Kaysen
,
K. Richmond
,
M. Rodesch
,
B. Binkowski
,
L. Chu
,
M. Li
,
K. Heinrich
,
S. Blair
,
P. Belshaw
,
M. Sussman
,
F. Cerrina
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 231 KB
Your tags:
english, 2006
139
Silicon single-electron transistor fabricated by anisotropic etch and oxidation
G. Pennelli
,
M. Piotto
,
G. Barillaro
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 285 KB
Your tags:
english, 2006
140
High-density silicon nanowire growth from self-assembled Au nanoparticles
J. Albuschies
,
M. Baus
,
O. Winkler
,
B. Hadam
,
B. Spangenberg
,
H. Kurz
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 576 KB
Your tags:
english, 2006
141
Electron range effects in focused electron beam induced deposition of 3D nanostructures
Tristan Bret
,
Ivo Utke
,
Patrik Hoffmann
,
Maurice Abourida
,
Pascal Doppelt
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 395 KB
Your tags:
english, 2006
142
Applications of nano-patterning to tissue engineering
N. Gadegaard
,
E. Martines
,
M.O. Riehle
,
K. Seunarine
,
C.D.W. Wilkinson
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 327 KB
Your tags:
english, 2006
143
Molecular detection in a micro channel using silver-oxide thin film
Makoto Fujimaki
,
Yasuhiko Iwanabe
,
Koichi Awazu
,
Junji Tominaga
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 354 KB
Your tags:
english, 2006
144
A novel optical gas sensor based on sputtered InxOyNz films with gold-nano-dots
H. Steffes
,
A. Schleunitz
,
U. Gernert
,
R. Chabicovsky
,
E. Obermeier
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 311 KB
Your tags:
english, 2006
145
Layer-by-layer UV micromachining methodology of epoxy resist embedded microchannels
M. Kitsara
,
M. Chatzichristidi
,
D. Niakoula
,
D. Goustouridis
,
K. Beltsios
,
P. Argitis
,
I. Raptis
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 339 KB
Your tags:
english, 2006
146
Double line shrink lithography at k1 = 0.16
Christoph Noelscher
,
Marcel Heller
,
Boris Habets
,
Matthias Markert
,
Uli Scheler
,
Peter Moll
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 351 KB
Your tags:
english, 2006
147
Reproducible formation of nanoscale-gap electrodes for single-molecule measurements by combination of FIB deposition and tunneling current detection
K. Shigeto
,
M. Kawamura
,
A.Yu. Kasumov
,
K. Tsukagoshi
,
K. Kono
,
Y. Aoyagi
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 148 KB
Your tags:
english, 2006
148
Effects of developing conditions on the contrast and sensitivity of hydrogen silsesquioxane
Yifang Chen
,
Haifang Yang
,
Zheng Cui
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 278 KB
Your tags:
english, 2006
149
Investigating 248 and 193 nm resist degradation during reactive ion oxide etching
Michael J. May
,
Bénédicte Mortini
,
Michel Heitzmann
,
Pauline Gautier
,
Claire Sourd
,
Cyril Brochon
,
Georges Hadziioannou
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 651 KB
Your tags:
english, 2006
150
Micro powder injection moulding
Rudolf Zauner
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 210 KB
Your tags:
english, 2006
151
Coulomb blockade in a nanoscale phosphorus-in-silicon island
F.E. Hudson
,
A.J. Ferguson
,
C. Yang
,
D.N. Jamieson
,
A.S. Dzurak
,
R.G. Clark
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 279 KB
Your tags:
english, 2006
152
Micro/nanomechanical resonators for distributed mass sensing with capacitive detection
Julien Arcamone
,
Gemma Rius
,
Gabriel Abadal
,
Jordi Teva
,
Nuria Barniol
,
Francesc Pérez-Murano
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 257 KB
Your tags:
english, 2006
153
New approach to micro-joining by hot gas stream
Daniela Andrijasevic
,
Ioanna Giouroudi
,
Walter Smetana
,
Stefan Boehm
,
Johann Zehetner
,
Werner Brenner
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 181 KB
Your tags:
english, 2006
154
A fabrication process for a silicon tunnel barrier with self-aligned gate
G. Pennelli
,
M. Piotto
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 196 KB
Your tags:
english, 2006
155
Monolithic silicon optoelectronic transducers and elastomeric fluidic modules for bio-spotting and bio-assay experiments
K. Misiakos
,
P.S. Petrou
,
S.E. Kakabakos
,
M.E. Vlahopoulou
,
A. Tserepi
,
E. Gogolides
,
H.H. Ruf
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 218 KB
Your tags:
english, 2006
156
Exposure optimization in high-resolution e-beam lithography
Peter Hudek
,
Dirk Beyer
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 235 KB
Your tags:
english, 2006
157
Diffusion along microfluidic channels
A. Heeren
,
C.P. Luo
,
G. Roth
,
A. Ganser
,
R. Brock
,
K.-H. Wiesmueller
,
W. Henschel
,
D.P. Kern
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 171 KB
Your tags:
english, 2006
158
Development of micro-stereolithography technology using metal powder
Jin Woo Lee
,
In Hwan Lee
,
Dong-Woo Cho
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 270 KB
Your tags:
english, 2006
159
Nano-patterning using an embedded particle monolayer as an etch mask
Tsutomu Nakanishi
,
Toshiro Hiraoka
,
Akira Fujimoto
,
Satoshi Saito
,
Koji Asakawa
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 643 KB
Your tags:
english, 2006
160
Formation of more stable hydrophilic surfaces of PDMS by plasma and chemical treatments
Dhananjay Bodas
,
Chantal Khan-Malek
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 113 KB
Your tags:
english, 2006
161
Preface
Hans Loeschner
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 50 KB
Your tags:
english, 2006
162
Nanofabrication of negative refractive index metasurfaces
Zoran Jakšić
,
Dana Vasiljević-Radović
,
Milan Maksimović
,
Milija Sarajlić
,
Aleksandar Vujanić
,
Zoran Djurić
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 2.08 MB
Your tags:
english, 2006
163
Integrating nanotechnology into a working storage device
A. Knoll
,
P. Bächtold
,
J. Bonan
,
G. Cherubini
,
M. Despont
,
U. Drechsler
,
U. Dürig
,
B. Gotsmann
,
W. Häberle
,
C. Hagleitner
,
D. Jubin
,
M.A. Lantz
,
A. Pantazi
,
H. Pozidis
,
H. Rothuizen
,
A. Sebastian
,
R.
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 590 KB
Your tags:
english, 2006
164
Local modification of micellar layers using nanoscale dispensing
André Meister
,
Sivashankar Krishnamoorthy
,
Christian Hinderling
,
Raphaël Pugin
,
Harry Heinzelmann
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 368 KB
Your tags:
english, 2006
165
Back-side alignment strategy decouples process from alignment and achieves leading edge overlay performance
F.G.C. Bijnen
,
W.V. Buel
,
M. Young
,
X. Zhou
,
C. Gooch
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 631 KB
Your tags:
english, 2006
166
Microtransfer molding of hydrophobic dendrimer
C. Thibault
,
C. Severac
,
E. Trévisiol
,
C. Vieu
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 1.26 MB
Your tags:
english, 2006
167
A lithographic polymer process sequence for chemical sensing arrays
Maria Kitsara
,
Dimitrios Goustouridis
,
Stavros Chatzandroulis
,
Konstantinos Beltsios
,
Ioannis Raptis
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 706 KB
Your tags:
english, 2006
168
Combined Al-protection and HF-vapor release process for ultrathin single crystal silicon cantilevers
S. Mouaziz
,
G. Boero
,
G. Moresi
,
C. Degen
,
Q. Lin
,
B. Meier
,
J. Brugger
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 167 KB
Your tags:
english, 2006
169
Micron-sized mechanical oscillators created by 3D two-photon polymerization: Towards a mechanical logic device
A. Knoll
,
U. Dürig
,
O. Züger
,
H.-J. Güntherodt
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 253 KB
Your tags:
english, 2006
170
Status and prospects of UV-Nanoimprint technology
M. Bender
,
A. Fuchs
,
U. Plachetka
,
H. Kurz
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 179 KB
Your tags:
english, 2006
171
History of the Vienna Hofburg
Walter Straub
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 225 KB
Your tags:
english, 2006
172
Piezoresistive cantilevers in a commercial CMOS technology for intermolecular force detection
Guillermo Villanueva
,
Francesc Pérez-Murano
,
Martin Zimmermann
,
Jan Lichtenberg
,
Joan Bausells
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 212 KB
Your tags:
english, 2006
173
Alternative micro-hotplate design for low power sensor arrays
R. Triantafyllopoulou
,
S. Chatzandroulis
,
C. Tsamis
,
A. Tserepi
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 166 KB
Your tags:
english, 2006
174
Non-destructive high-resolution X-ray imaging of ULSI micro-electronics using keV X-ray microscopy in Zernike phase contrast
Ulrich Neuhäusler
,
Gerd Schneider
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 310 KB
Your tags:
english, 2006
175
Nonmagnetic to magnetic nanostructures via ion irradiation
B.N. Dev
,
S. Bera
,
B. Satpati
,
D.K. Goswami
,
K. Bhattacharjee
,
P.V. Satyam
,
K. Yamashita
,
O.M. Liedke
,
K. Potzger
,
J. Fassbender
,
F. Eichhorn
,
R. Gröetzschel
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 517 KB
Your tags:
english, 2006
176
MEMS complete blood count sensors designed to reduce noise from electrolysis gas
Rikiya Tanabe
,
Seiichi Hata
,
Akira Shimokohbe
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 425 KB
Your tags:
english, 2006
177
Carbon nanotubes for integration into nanocomposite materials
I. Bright
,
V. Koutsos
,
Q. Li
,
R. Cheung
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 234 KB
Your tags:
english, 2006
178
Toward a comparative study of protein crystallization in microfluidic chambers using vapor diffusion and batch techniques
M. Lounaci
,
P. Rigolet
,
G. Velve Casquillas
,
H.W. Huang
,
Y. Chen
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 227 KB
Your tags:
english, 2006
179
The fabrication and characterisation of metallic nanotransistors
H.H. Cheng
,
C.N. Andrew
,
M.M. Alkaisi
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 166 KB
Your tags:
english, 2006
180
Electrical characterization of molecular monolayers containing tungsten polyoxometalates
Nikos Glezos
,
Antonios M. Douvas
,
Panagiotis Argitis
,
Frank Saurenbach
,
Juergen Chrost
,
Christos Livitsanos
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 267 KB
Your tags:
english, 2006
181
Nanoimprinted devices for integrated organic electronics
Günther Leising
,
Barbara Stadlober
,
Ursula Haas
,
Anja Haase
,
Christian Palfinger
,
Herbert Gold
,
Georg Jakopic
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 2.06 MB
Your tags:
english, 2006
182
Methods to reduce lithography costs with reticle engineering
R. Scott Mackay
,
Henry Kamberian
,
Yuan Zhang
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 212 KB
Your tags:
english, 2006
183
Lithographic manufacturing robustness analysis for as drawn patterns
Lawrence S. Melvin III
,
Qiliang Yan
,
William F. Kielhorn
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 179 KB
Your tags:
english, 2006
184
Performance of dilatometer for determining absolute CTE of EUVL LTEMs
Yoshimasa Takeichi
,
Iwao Nishiyama
,
Naofumi Yamada
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 213 KB
Your tags:
english, 2006
185
A manufacturable miniature electron beam column
James P. Spallas
,
Charles S. Silver
,
Lawrence P. Muray
,
Torquil Wells
,
Mohamed El-Gomati
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 410 KB
Your tags:
english, 2006
186
Projection mask-less lithography (PML2): First results from the multi beam blanking demonstrator
Stefan Eder-Kapl
,
Ernst Haugeneder
,
Helmut Langfischer
,
Klaus Reimer
,
Joerg Eichholz
,
Martin Witt
,
Hans-Joachim Doering
,
Joachim Heinitz
,
Christoph Brandstaetter
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 293 KB
Your tags:
english, 2006
187
Axicon lens on optical fiber forming optical tweezers, made by focused ion beam milling
S. Cabrini
,
C. Liberale
,
D. Cojoc
,
A. Carpentiero
,
M. Prasciolu
,
S. Mora
,
V. Degiorgio
,
F. De Angelis
,
E. Di Fabrizio
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 258 KB
Your tags:
english, 2006
188
Magnetic levitation systems compared to conventional bearing systems
A.T.A. Peijnenburg
,
J.P.M. Vermeulen
,
J. van Eijk
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 159 KB
Your tags:
english, 2006
189
Multibeam electron source for nanofabrication using electron beam induced deposition
M.J. van Bruggen
,
B. van Someren
,
P. Kruit
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 375 KB
Your tags:
english, 2006
190
Direct pattern transfer for sub-45 nm features using nanoimprint lithography
Ngoc V. Le
,
William J. Dauksher
,
Kathy A. Gehoski
,
Kevin J. Nordquist
,
Eric Ainley
,
Pawitter Mangat
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 200 KB
Your tags:
english, 2006
191
Current overview of commercially available imprint templates and directions for future development
John G. Maltabes
,
R. Scott Mackay
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 128 KB
Your tags:
english, 2006
192
Reversible assembling of microfluidic devices by aspiration
M. Le Berre
,
C. Crozatier
,
G. Velve Casquillas
,
Y. Chen
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 209 KB
Your tags:
english, 2006
193
Nanoscale electrochemical probes for single cell analysis
R.J. Fasching
,
S.-J. Bai
,
T. Fabian
,
F.B. Prinz
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 209 KB
Your tags:
english, 2006
194
Twin cantilevers with a nanogap for single molecule experimentation
Marco Lazzarino
,
Elena De Marchi
,
Massimo Bressanutti
,
Lisa Vaccari
,
Stefano Cabrini
,
Chiara Schmid
,
Rosa Poetes
,
Giacinto Scoles
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 149 KB
Your tags:
english, 2006
195
Electrically isolated nanostructures fabricated using self-assembled multilayers and a novel negative-tone bi-layer resist stack
Charan Srinivasan
,
Mary E. Anderson
,
R. Jayaraman
,
Paul S. Weiss
,
Mark W. Horn
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 181 KB
Your tags:
english, 2006
196
The limits of CD metrology
Bryan J. Rice
,
Heidi Cao
,
Michael Grumski
,
Jeanette Roberts
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 477 KB
Your tags:
english, 2006
197
Tri-layer soft UV imprint lithography and fabrication of high density pillars
J. Shi
,
C. Peroz
,
D. Peyrade
,
J. Salari
,
M. Belotti
,
W.H. Huang
,
Y. Chen
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 299 KB
Your tags:
english, 2006
198
Present status and future prospects of LEEPL
Takao Utsumi
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 1.10 MB
Your tags:
english, 2006
199
High-aspect-ratio structure formation techniques for three-dimensional metal-oxide-semiconductor transistors
Hideo Sunami
,
Shunpei Matsumura
,
Koji Yoshikawa
,
Kiyoshi Okuyama
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 650 KB
Your tags:
english, 2006
200
IFC: Editorial Board
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 32 KB
Your tags:
english, 2006
201
Table of Contents
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 166 KB
Your tags:
english, 2006
202
Author Index to MNE 2005 (Vol. 83/4-9)
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 242 KB
Your tags:
english, 2006
203
Status and future of maskless lithography
Daniel Henry
,
Jan Willem Gemmink
,
Laurent Pain
,
Sergei V. Postnikov
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 109 KB
Your tags:
english, 2006
204
Nanoelectronics beyond silicon
Wolfgang Hoenlein
,
Georg S. Duesberg
,
Andrew P. Graham
,
Franz Kreupl
,
Maik Liebau
,
Werner Pamler
,
Robert Seidel
,
Eugen Unger
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 689 KB
Your tags:
english, 2006
205
Optical heating for short hot embossing cycle times
K. Seunarine
,
N. Gadegaard
,
M.O. Riehle
,
C.D.W. Wilkinson
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 306 KB
Your tags:
english, 2006
206
Perforated polymer membranes fabricated by nanoimprint
Helmut Schift
,
Sandro Bellini
,
Jens Gobrecht
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 184 KB
Your tags:
english, 2006
207
Tin based laser-produced plasma source development for EUVL
P. Hayden
,
J. White
,
A. Cummings
,
P. Dunne
,
M. Lysaght
,
N. Murphy
,
P. Sheridan
,
G. O’Sullivan
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 250 KB
Your tags:
english, 2006
208
A new approach for actinic defect inspection of EUVL multilayer mask blanks: Standing wave photoemission electron microscopy
U. Neuhäusler
,
J. Lin
,
A. Oelsner
,
M. Schicketanz
,
D. Valdaitsev
,
J. Slieh
,
N. Weber
,
M. Brzeska
,
A. Wonisch
,
T. Westerwalbesloh
,
A. Brechling
,
H. Brückl
,
M. Escher
,
M. Merkel
,
G. Schönhense
,
U. Klein
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 251 KB
Your tags:
english, 2006
209
From hyper NA to low NA
Martin McCallum
,
Gene Fuller
,
Soichi Owa
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 187 KB
Your tags:
english, 2006
210
Nanoscale imaging with a portable field emission scanning electron microscope
Anjam Khursheed
,
Karuppiah Nelliyan
,
Yu Ding
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 862 KB
Your tags:
english, 2006
211
Low-energy electron-beam lithography of hydrogen silsesquioxane
Haifang Yang
,
Aizi Jin
,
Qiang Luo
,
Changzhi Gu
,
Zheng Cui
,
Yifang Chen
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 191 KB
Your tags:
english, 2006
212
Pattern replication of 100 nm to millimeter-scale features by thermal nanoimprint lithography
Bo Cui
,
Teodor Veres
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 157 KB
Your tags:
english, 2006
213
Polyimide nanostructures fabricated by nanoimprint lithography and its applications
Bo Cui
,
Yann Cortot
,
Teodor Veres
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 234 KB
Your tags:
english, 2006
214
Multi-colour micro-contact printing based on microfluidic network inking
C. Crozatier
,
M. Le Berre
,
Y. Chen
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 259 KB
Your tags:
english, 2006
215
Towards the creation of quantum dots using FIB technology
P. Kitslaar
,
M. Strassner
,
I. Sagnes
,
E. Bourhis
,
X. Lafosse
,
C. Ulysse
,
C. David
,
R. Jede
,
L. Bruchhaus
,
J. Gierak
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 286 KB
Your tags:
english, 2006
216
Mechanical characterization of membrane like microelectronic components
M. Držı́k
,
H. Löschner
,
E. Haugeneder
,
W. Fallman
,
P. Hudek
,
I.W. Rangelow
,
Y. Sarov
,
T. Lalinský
,
J. Chlpı́k
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 271 KB
Your tags:
english, 2006
217
Supercritical drying process for high aspect-ratio HSQ nano-structures
T. Wahlbrink
,
Daniel Küpper
,
Y.M. Georgiev
,
J. Bolten
,
M. Möller
,
David Küpper
,
M.C. Lemme
,
H. Kurz
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 286 KB
Your tags:
english, 2006
218
Fabrication of photonic crystal structures in polymer waveguide material
Uwe Huebner
,
R. Boucher
,
W. Morgenroth
,
M. Schmidt
,
M. Eich
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 298 KB
Your tags:
english, 2006
219
Condensation mechanism of microbubbles depending on DFR pattern design
Akira Kawai
,
Hotaka Endo
,
Tomotaka Ariga
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 145 KB
Your tags:
english, 2006
220
Direct-write deposition with a focused electron beam
M. Fischer
,
H.D. Wanzenboeck
,
J. Gottsbachner
,
S. Müller
,
W. Brezna
,
M. Schramboeck
,
E. Bertagnolli
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 139 KB
Your tags:
english, 2006
221
Nickel stamp fabrication using step & stamp imprint lithography
Tomi Haatainen
,
Päivi Majander
,
Tommi Riekkinen
,
Jouni Ahopelto
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 620 KB
Your tags:
english, 2006
222
Membrane mask aero and thermoelastic control for proximity lithography
Dryver Huston
,
James Plumpton
,
Brian Esser
,
Dylan Burns
,
Brent Boerger
,
Robert Selzer
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 272 KB
Your tags:
english, 2006
223
Fabrication of three-dimensional microlens arrays in sol–gel glass
J.-B. Orhan
,
V.K. Parashar
,
A. Sayah
,
M.A.M. Gijs
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 308 KB
Your tags:
english, 2006
224
Polymeric electrolytes for WO3-based all solid-state electrochromic displays
Maria Vasilopoulou
,
Ioannis Raptis
,
Panagiotis Argitis
,
Ioannis Aspiotis
,
Dimitris Davazoglou
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 315 KB
Your tags:
english, 2006
225
Free-standing inductive grid filter for infrared radiation rejection
Konstantins Jefimovs
,
Janne Laukkanen
,
Tuomas Vallius
,
Tero Pilvi
,
Mikko Ritala
,
Tomi Meilahti
,
Matti Kaipiainen
,
Marcos Bavdaz
,
Markku Leskelä
,
Jari Turunen
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 271 KB
Your tags:
english, 2006
226
Capacitive pressure sensors and switches fabricated using strain compensated SiGeB
S. Chatzandroulis
,
S. Koliopoulou
,
D. Goustouridis
,
D. Tsoukalas
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 160 KB
Your tags:
english, 2006
227
Electrostatic chuck for EUV masks
Gerhard Kalkowski
,
Stefan Risse
,
Sandra Müller
,
Gerd Harnisch
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 295 KB
Your tags:
english, 2006
228
A mechanically actuated silicon microgripper for handling micro- and nanoparticles
Marius M. Blideran
,
Günter Bertsche
,
Wolfgang Henschel
,
Dieter P. Kern
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 305 KB
Your tags:
english, 2006
229
Super-resolution near-field lithography using planar silver lenses: A review of recent developments
Richard J. Blaikie
,
David O.S. Melville
,
Maan M. Alkaisi
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 546 KB
Your tags:
english, 2006
230
Novel fabrication of a curved micro-mirror for optical interconnection
Min-Woo Lee
,
Chul Hyun Choi
,
Kyung Jin Lim
,
Beom-Hoan O
,
Seung Gol Lee
,
Se-Geun Park
,
El-Hang Lee
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 341 KB
Your tags:
english, 2006
231
Advanced methods and tools for handling and assembly in microtechnology – A European approach in FP6
W. Brenner
,
A. Almansa-Martin
,
F. Sümecz
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 75 KB
Your tags:
english, 2006
232
Assembly of an aperture plate system for projection mask-less lithography
M. Mohaupt
,
R. Eberhardt
,
C. Damm
,
T. Peschel
,
A. Tünnermann
,
E. Haugeneder
,
H.-J. Döring
,
C. Brandstätter
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 224 KB
Your tags:
english, 2006
233
Thermally driven microgripper as a tool for micro assembly
Katerina Ivanova
,
Tzvetan Ivanov
,
Ali Badar
,
Burkhard E. Volland
,
Ivo W. Rangelow
,
Daniela Andrijasevic
,
Franz Sümecz
,
Stephanie Fischer
,
Manfred Spitzbart
,
Werner Brenner
,
Ivan Kostic
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 197 KB
Your tags:
english, 2006
234
Simulation software for designing electron and ion beam equipment
E. Munro
,
J. Rouse
,
H. Liu
,
L. Wang
,
X. Zhu
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 1.20 MB
Your tags:
english, 2006
235
Electron beam lithography, a helpful tool for nanooptics
Andreas Hohenau
,
Harald Ditlbacher
,
Bernhard Lamprecht
,
Joachim R. Krenn
,
Alfred Leitner
,
Franz R. Aussenegg
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 207 KB
Your tags:
english, 2006
236
Nano-dots formation on silver sulphide surface using electron beam irradiation
Hayato Sone
,
Takuro Tamura
,
Ken Miyazaki
,
Sumio Hosaka
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 304 KB
Your tags:
english, 2006
237
Ion-beam direct-structuring of high-temperature superconductors
W. Lang
,
M. Dineva
,
M. Marksteiner
,
T. Enzenhofer
,
K. Siraj
,
M. Peruzzi
,
J.D. Pedarnig
,
D. Bäuerle
,
R. Korntner
,
E. Cekan
,
E. Platzgummer
,
H. Loeschner
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 748 KB
Your tags:
english, 2006
238
Transitioning of direct e-beam write technology from research and development into production flow
L. Pain
,
B. Icard
,
S. Manakli
,
J. Todeschini
,
B. Minghetti
,
V. Wang
,
D. Henry
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 319 KB
Your tags:
english, 2006
239
Ion beam imprinting system for nanofabrication
Qing Ji
,
Ye Chen
,
Lili Ji
,
Ximan Jiang
,
Ka-Ngo Leung
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 386 KB
Your tags:
english, 2006
240
The EUV metrology program of PTB
R. Klein
,
C. Laubis
,
R. Müller
,
F. Scholze
,
G. Ulm
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 181 KB
Your tags:
english, 2006
241
Fabrication of multi-layered nano-channels by reversal imprint lithography
Masaki Nakajima
,
Takashi Yoshikawa
,
Kenji Sogo
,
Yoshihiko Hirai
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 850 KB
Your tags:
english, 2006
242
Fabrication of micro-coils using laser scan lithography on copper pipes
Yoshihisa Kaneko
,
Kohei Hashimoto
,
Toshiyuki Horiuchi
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 618 KB
Your tags:
english, 2006
243
High resolution e-beam lithography using a thin titanium layer to promote resist adhesion
D.S. Macintyre
,
I. Young
,
A. Glidle
,
X. Cao
,
J.M.R. Weaver
,
S. Thoms
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 288 KB
Your tags:
english, 2006
244
Comparison of FIB-CVD and EB-CVD growth characteristics
Jun-ya Igaki
,
Kazuhiro Kanda
,
Yuichi Haruyama
,
Masahiko Ishida
,
Yukinori Ochiai
,
Jun-ichi Fujita
,
Takashi Kaito
,
Shinji Matsui
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 220 KB
Your tags:
english, 2006
245
Microfluidic devices with integrated active valves based on thermoplastic elastomers
Ivan Stoyanov
,
Michael Tewes
,
Michael Koch
,
Markus Löhndorf
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 172 KB
Your tags:
english, 2006
246
A disposable biosensor with Prussian blue deposited electrode
In-Je Yi
,
Ju-Ho Kim
,
Y.J. Choi
,
C.J. Kang
,
Yong-Sang Kim
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 140 KB
Your tags:
english, 2006
247
Preparation of nanostructured Titanium surfaces for investigations of the interface between cell monolayers and Titanium
A. Heeren
,
C. Burkhardt
,
H. Wolburg
,
W. Henschel
,
W. Nisch
,
D.P. Kern
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 313 KB
Your tags:
english, 2006
248
Electrical detection of DNA using gold and magnetic nanoparticles and bio bar-code DNA between nanogap electrodes
Tien-Li Chang
,
Chien-Ying Tsai
,
Chih-Chen Sun
,
Ramesh Uppala
,
Chun-Chi Chen
,
Chun-Hung Lin
,
Ping-Hei Chen
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 798 KB
Your tags:
english, 2006
249
Towards the bottom-up concept: Extended quantum-dot cellular automata
Iztok Lebar Bajec
,
Nikolaj Zimic
,
Miha Mraz
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 108 KB
Your tags:
english, 2006
250
Characterization of Ru layer for capping/buffer application in EUVL mask
Tae Geun Kim
,
Seung Yoon Lee
,
Chung Yong Kim
,
In-Sung Park
,
In-Yong Kang
,
Nae-Eung Lee
,
Yong-Chae Chung
,
Jinho Ahn
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 225 KB
Your tags:
english, 2006
251
Micro wetting system by controlling pinning and capillary forces
Takayoshi Niiyama
,
Akira Kawai
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 245 KB
Your tags:
english, 2006
252
Focused ion beam sculpted membranes for nanoscience tooling
A.-L. Biance
,
J. Gierak
,
É. Bourhis
,
A. Madouri
,
X. Lafosse
,
G. Patriarche
,
G. Oukhaled
,
C. Ulysse
,
J.-C. Galas
,
Y. Chen
,
L. Auvray
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 251 KB
Your tags:
english, 2006
253
Nanopatterning of colloidal nanocrystals emitters dispersed in a PMMA matrix by e-beam lithography
Luigi Martiradonna
,
Tiziana Stomeo
,
Milena De Giorgi
,
Roberto Cingolani
,
Massimo De Vittorio
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 391 KB
Your tags:
english, 2006
254
Measurement and simulation of impinging precursor molecule distribution in focused particle beam deposition/etch systems
Ivo Utke
,
Vinzenz Friedli
,
Simone Amorosi
,
Johann Michler
,
Patrik Hoffmann
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 371 KB
Your tags:
english, 2006
255
Processing and simulation of few nm thick high-κ dielectric films
S. Abermann
,
C. Jordan
,
M. Harasek
,
E. Bertagnolli
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 178 KB
Your tags:
english, 2006
256
High resolution 100 kV electron beam lithography in SU-8
B. Bilenberg
,
S. Jacobsen
,
M.S. Schmidt
,
L.H.D. Skjolding
,
P. Shi
,
P. Bøggild
,
J.O. Tegenfeldt
,
A. Kristensen
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 163 KB
Your tags:
english, 2006
257
Study of mechanisms involved in photoresist microlens formation
S. Audran
,
B. Faure
,
B. Mortini
,
J. Regolini
,
G. Schlatter
,
G. Hadziioannou
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 226 KB
Your tags:
english, 2006
258
Chemically amplified molecular resists for electron beam lithography
A.P.G. Robinson
,
H.M. Zaid
,
F.P. Gibbons
,
R.E. Palmer
,
M. Manickam
,
J.A. Preece
,
R. Brainard
,
T. Zampini
,
K. O’Connell
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 261 KB
Your tags:
english, 2006
259
Fabrication and characterization of freestanding Si/Cr micro- and nanospirals
Li Zhang
,
Lixin Dong
,
Dominik J. Bell
,
Bradley J. Nelson
,
Christian Schönenberger
,
Detlev Grützmacher
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 212 KB
Your tags:
english, 2006
260
Characterization of stray light of ArF lithographic tools: Modeling of power spectral density of an optical pupil
Young-Chang Kim
,
Peter De Bisschop
,
Geert Vandenberghe
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 197 KB
Your tags:
english, 2006
261
Biodynamical analysis microfluidic system
Marioara Avram
,
Andrei Avram
,
Ciprian Iliescu
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 258 KB
Your tags:
english, 2006
262
Optimisation of HSQ e-beam lithography for the patterning of FinFET transistors
Frédérique Fruleux-Cornu
,
Julien Penaud
,
Emmanuel Dubois
,
Marc François
,
Michel Muller
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 225 KB
Your tags:
english, 2006
263
A review of line edge roughness and surface nanotexture resulting from patterning processes
Evangelos Gogolides
,
Vassilios Constantoudis
,
George P. Patsis
,
Angeliki Tserepi
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 834 KB
Your tags:
english, 2006
264
Organic selective-area patterning method for microlens array fabrication
Wen-Kuei Huang
,
Chu-Jung Ko
,
Fang-Chung Chen
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 186 KB
Your tags:
english, 2006
265
Electron-beam induced deposition of a nanotip within a nano-aperture structure
Arthur M. Blackburn
,
David G. Hasko
,
David A. Williams
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 482 KB
Your tags:
english, 2006
266
Through pitch contact hole imaging for the 65 nm node
Jan Pieter Kuijten
,
Will Conley
,
Arjan Verhappen
,
Martin Chaplin
,
Robert Socha
,
Lloyd Litt
,
Scott Warwick
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 521 KB
Your tags:
english, 2006
267
Investigation of silicon isolated double quantum-dot energy levels for quantum computation
Michael G. Tanner
,
David G. Hasko
,
David A. Williams
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 322 KB
Your tags:
english, 2006
268
SU8 bio-chemical sensor microarrays
J.H.T. Ransley
,
M. Watari
,
D. Sukumaran
,
R.A. McKendry
,
A.A. Seshia
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 331 KB
Your tags:
english, 2006
269
FIB-milling of photonic structures and sputtering simulation
Philipp M. Nellen
,
Victor Callegari
,
Rolf Brönnimann
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 271 KB
Your tags:
english, 2006
270
Fabrication of luminescent carbon nanotubes
B.P. Zhang
,
K. Shimazaki
,
M. Suzuki
,
T. Shiokawa
,
Y. Homma
,
K. Ishibashi
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 215 KB
Your tags:
english, 2006
271
Hybrid lithography: The marriage between optical and e-beam lithography. A method to study process integration and device performance for advanced device nodes
Steven Steen
,
Sharee J. McNab
,
Lidija Sekaric
,
Inna Babich
,
Jyotica Patel
,
Jim Bucchignano
,
Michael Rooks
,
David M. Fried
,
Anna W. Topol
,
Jim R. Brancaccio
,
Roy Yu
,
John M. Hergenrother
,
James P. Doyl
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 305 KB
Your tags:
english, 2006
272
Biochip-compatible packaging and micro-fluidics for a silicon opto-electronic biosensor
H.H. Ruf
,
T. Knoll
,
K. Misiakos
,
R.B. Haupt
,
M. Denninger
,
L.B. Larsen
,
P.S. Petrou
,
S.E. Kakabakos
,
E. Ehrentreich-Förster
,
F.F. Bier
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 198 KB
Your tags:
english, 2006
273
Sloped side walls in SU-8 structures with ‘Step-and-Flash’ processing
Maria Nordström
,
Jörg Hübner
,
Anja Boisen
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 172 KB
Your tags:
english, 2006
274
Electrically conducting Bi cluster-assembled wires formed using SiN nanostencils
Jim G. Partridge
,
David M.A. Mackenzie
,
René Reichel
,
Simon A. Brown
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 200 KB
Your tags:
english, 2006
275
Scanning probe arrays for life sciences and nanobiology applications
Laure Aeschimann
,
André Meister
,
Terunobu Akiyama
,
Benjamin W. Chui
,
Philippe Niedermann
,
Harry Heinzelmann
,
Nico F. De Rooij
,
Urs Staufer
,
Peter Vettiger
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 239 KB
Your tags:
english, 2006
276
Employing a detailed compositional analysis to develop a low defect Mo/Si deposition tool and process for EUVL mask blanks
Andy Ma
,
Rajul Randive
,
Patrick Kearney
,
San-In Han
,
Soon-Cheon Seo
,
Toshiyuki Uno
,
Dave Krick
,
Paul Mirkarimi
,
Eberhard Spiller
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 150 KB
Your tags:
english, 2006
277
Fabrication of hall device structures in 3C-SiC using microelectromechanical processing technology
L. Jiang
,
S. Anderson
,
E. Thong
,
R. Cheung
,
C.A. Zorman
,
M. Mehregany
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 364 KB
Your tags:
english, 2006
278
Cell wall cutting tool and nano-net fabrication by FIB-CVD for subcellular operations and analysis
Reo Kometani
,
Ryoko Funabiki
,
Takayuki Hoshino
,
Kazuhiro Kanda
,
Yuichi Haruyama
,
Takashi Kaito
,
Jun-ichi Fujita
,
Yukinori Ochiai
,
Shinji Matsui
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 319 KB
Your tags:
english, 2006
279
Method for the simple catalytic carbon nano-fibers growth in air
Simas Rackauskas
,
Valentinas Snitka
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 282 KB
Your tags:
english, 2006
280
Zone-plate-array lithography: A low-cost complement or competitor to scanning-electron-beam lithography
Henry I. Smith
,
Rajesh Menon
,
Amil Patel
,
David Chao
,
Michael Walsh
,
G. Barbastathis
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 394 KB
Your tags:
english, 2006
281
Development of a micro-bellows actuator using micro-stereolithography technology
Hyun-Wook Kang
,
In Hwan Lee
,
Dong-Woo Cho
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 279 KB
Your tags:
english, 2006
282
Nanocharacterization of electrocoated polymers on carbon fibers
A. Sezai Sarac
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 330 KB
Your tags:
english, 2006
283
Polarization effects in plasmonic masks
Frank Schellenberg
,
Kostas Adam
,
Liying Sun
,
Joe Matteo
,
Lambertus Hesselink
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 428 KB
Your tags:
english, 2006
284
Metal nano-floating gate memory devices fabricated at low temperature
S. Koliopoulou
,
P. Dimitrakis
,
D. Goustouridis
,
P. Normand
,
C. Pearson
,
M.C. Petty
,
H. Radamson
,
D. Tsoukalas
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 189 KB
Your tags:
english, 2006
285
Hybrid lithography process for nano-scale devices
S. Pauliac
,
S. Landis
,
J. Foucher
,
J. Thiault
,
O. Faynot
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 377 KB
Your tags:
english, 2006
286
AFM based polarization nanolithography on PZT sol–gel films
Valentinas Snitka
,
Arturas Ulcinas
,
Kestutis Nemciauskas
,
Vitas Lendraitis
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 376 KB
Your tags:
english, 2006
287
Present and future of 193 nm lithography
Th. Zell
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 480 KB
Your tags:
english, 2006
288
Profile evolution during thermal nanoimprint
H.-C. Scheer
,
N. Bogdanski
,
M. Wissen
,
T. Konishi
,
Y. Hirai
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 472 KB
Your tags:
english, 2006
289
Manufacturable MEMS miniSEMs
R. Saini
,
Z. Jandric
,
J. Gammell
,
S.A.M. Mentink
,
D. Tuggle
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 229 KB
Your tags:
english, 2006
290
Advances in microchannel amplifiers for maskless lithography
Anton S. Tremsin
,
David R. Beaulieu
,
Harry F. Lockwood
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 123 KB
Your tags:
english, 2006
291
Direct observation and localization of colloidal nanoparticles on patterned surface by capillary forces
D. Peyrade
,
M. Gordon
,
G. Hyvert
,
K. Berton
,
J. Tallal
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 297 KB
Your tags:
english, 2006
292
Direct, in-scanner, aerial image sensing
R. Fabian Pease
Journal:
Microelectronic Engineering
Year:
2006
Language:
english
File:
PDF, 531 KB
Your tags:
english, 2006
1
Follow
this link
or find "@BotFather" bot on Telegram
2
Send /newbot command
3
Specify a name for your chatbot
4
Choose a username for the bot
5
Copy an entire last message from BotFather and paste it here
×
×