Support us in the fight for the freedom of knowledge
Sign the petition
Hide info
books search
books
articles search
articles
Donate
Log In
Log In
to access more features
personal recommendations
Telegram Bot
download history
send to Email or Kindle
manage booklists
save to favorites
Explore
Journals
Contribution
Donate
Litera Library
Donate paper books
Add paper books
Open LITERA Point
Volume 462-463; Issue none
Main
Thin Solid Films
Volume 462-463; Issue none
Thin Solid Films
Volume 462-463; Issue none
1
The decomposition mechanism of SiO2 with the deposition of oxygen-deficient M(Hf or Zr)Ox films
Q. Li
,
S.J. Wang
,
P.C. Lim
,
J.W. Chai
,
A.C.H. Huan
,
C.K. Ong
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 377 KB
Your tags:
english, 2004
2
ALD (HfO2)x(Al2O3)1−x high-k gate dielectrics for advanced MOS devices application
H.Y. Yu
,
M.F. Li
,
D.L. Kwong
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 522 KB
Your tags:
english, 2004
3
Photoemission study of high-k praseodymium silicates formed by annealing of ultrathin Pr2O3 on SiO2/Si
Z.M. Wang
,
J.X. Wu
,
Q. Fang
,
J.-Y. Zhang
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 636 KB
Your tags:
english, 2004
4
Effect of substrate on phase transformation kinetics of WSix films
S. Bharat
,
P.K. Sahoo
,
M. Katiyar
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 410 KB
Your tags:
english, 2004
5
Interfacial reactions and mechanism of C54 TiSi2 phase formation enhanced by multi-thermal-shock method
S. Li
,
H.S. Park
,
C.Q. Sun
,
S. Widjaja
,
K. Liao
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 752 KB
Your tags:
english, 2004
6
A new measurement technique for the characterization of carrier lifetime in thin SOI MOSFETs
Yoshikata Nakajima
,
Hideki Tomita
,
Kenichi Aoto
,
Kenji Sasaki
,
Tatsuro Hanajiri
,
Toru Toyabe
,
Takitaro Morikawa
,
Takuo Sugano
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 461 KB
Your tags:
english, 2004
7
Channel mobility degradation and charge trapping in high-k/metal gate NMOSFETs
Shajan Mathew
,
L.K. Bera
,
N. Balasubramanian
,
M.S. Joo
,
B.J. Cho
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 184 KB
Your tags:
english, 2004
8
Effect of processing parameters on electroless Cu seed layer properties
Y.C. Ee
,
Z. Chen
,
L. Chan
,
Alex K.H. See
,
S.B. Law
,
K.C. Tee
,
K.Y. Zeng
,
L. Shen
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 787 KB
Your tags:
english, 2004
9
Deep trench etch and clean process technology for CU/SiOC passive device
M.B. Yu
,
V.N. Bliznetsov
,
C.K. Chang
,
B. Ramana Murthy
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 256 KB
Your tags:
english, 2004
10
Effect of oxygen pressure and laser fluence during pulsed laser deposition of TiO2 on MTOS (Metal–TiO2–SiO2–Si) capacitor characteristics
Roy Paily
,
Amitava DasGupta
,
Nandita DasGupta
,
Tapas Ganguli
,
Lalit M. Kukreja
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 329 KB
Your tags:
english, 2004
11
Sub-100 nm MOSFET fabrication with low temperature resist trimming process
Shajan Mathew
,
Ranganathan Nagarajan
,
L.K. Bera
,
Feng Han Hua
,
Du An Yan
,
N. Balasubramanian
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 420 KB
Your tags:
english, 2004
12
Effects of preannealing on the diffusion barrier properties for ultrathin W–Si–N thin film
Xin-Ping Qu
,
Hua Lu
,
Tao Peng
,
Guo-Ping Ru
,
Bing-Zong Li
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 544 KB
Your tags:
english, 2004
13
Electrical evaluation of laser annealed junctions by Hall measurements
Chyiu Hyia Poon
,
Leng Seow Tan
,
Byung Jin Cho
,
Keh Ting Ng
,
Mousumi Bhat
,
Lap Chan
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 281 KB
Your tags:
english, 2004
14
Effects of Ti/Co and Co/Ti systems on the germanosilicidation of poly-Si capped poly-Si1−xGex substrate
Y.S. Li
,
P.S. Lee
,
K.L. Pey
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 462 KB
Your tags:
english, 2004
15
Determination of band offsets in strained-Si heterolayers
C.K. Maiti
,
S.K. Samanta
,
S. Chatterjee
,
G.K. Dalapati
,
S. Bhattacharya
,
B.M. Armstrong
,
H.S. Gamble
,
J. McCarthy
,
T.S. Perova
,
R.A. Moore
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 201 KB
Your tags:
english, 2004
16
Characterization of tetra methyl cyclo tetra siloxanes-based low-k dielectric film
J. Widodo
,
W. Lu
,
S.G. Mhaisalkar
,
L.C. Hsia
,
P.Y. Tan
,
L. Shen
,
K.Y. Zeng
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 298 KB
Your tags:
english, 2004
17
Investigation of electrical properties of furnace grown gate oxide on strained-Si
L.K. Bera
,
Shajan Mathew
,
N. Balasubramanian
,
C. Leitz
,
G. Braithwaite
,
F. Singaporewala
,
J. Yap
,
J. Carlin
,
T. Langdo
,
T. Lochtefeld
,
M. Currie
,
R. Hammond
,
J. Fiorenza
,
H. Badawi
,
M. Bulsara
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 450 KB
Your tags:
english, 2004
18
Sol-gel derived mesoporous silica films used as low dielectric constant materials
Suzhu Yu
,
Terence K.S. Wong
,
Xiao Hu
,
Kantisara Pita
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 631 KB
Your tags:
english, 2004
19
Effect of annealing on the composition and structure of HfO2 and nitrogen-incorporated HfO2
Chia Ching Yeo
,
Moon Sig Joo
,
Byung Jin Cho
,
Sung Jin Whang
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 519 KB
Your tags:
english, 2004
20
Interface and oxide traps in high-κ hafnium oxide films
H. Wong
,
N. Zhan
,
K.L. Ng
,
M.C. Poon
,
C.W. Kok
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 293 KB
Your tags:
english, 2004
21
The effects of Ca and Pd dopants on gold bonding wire and gold rod
T.S. Saraswati
,
T. Sritharan
,
C.I. Pang
,
Y.H. Chew
,
C.D. Breach
,
F. Wulff
,
S.G. Mhaisalkar
,
C.C. Wong
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 532 KB
Your tags:
english, 2004
22
The impact of etch-stop layer for borderless contacts on deep submicron CMOS device performance—a comparative study
H. Liao
,
P.S. Lee
,
L.N.L. Goh
,
H. Liu
,
J.L. Sudijono
,
Q. Elgin
,
C. Sanford
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 448 KB
Your tags:
english, 2004
23
Characterization and performance of dielectric diffusion barriers for Cu metallization
Zhe Chen
,
K. Prasad
,
C.Y. Li
,
S.S. Su
,
D. Gui
,
P.W. Lu
,
X. He
,
S. Balakumar
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 391 KB
Your tags:
english, 2004
24
Low cycle fatigue models for lead-free solders
John H.L. Pang
,
B.S. Xiong
,
T.H. Low
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 315 KB
Your tags:
english, 2004
25
The mechanical properties of ultra-low-dielectric-constant films
Y.H. Wang
,
M.R. Moitreyee
,
R. Kumar
,
S.Y. Wu
,
J.L. Xie
,
P. Yew
,
B. Subramanian
,
L. Shen
,
K.Y. Zeng
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 475 KB
Your tags:
english, 2004
26
Metal gate technology for nanoscale transistors—material selection and process integration issues
Yee-Chia Yeo
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 705 KB
Your tags:
english, 2004
27
Investigation of copper contamination into interlayer dielectrics by copper process
Ichiro Kobayashi
,
Tomoe Miyazawa
,
Masayo Fujimoto
,
Hiroko Kawaguchi
,
Tohru Hara
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 158 KB
Your tags:
english, 2004
28
Oxidation of bulk Au–Al intermetallics
C. Xu
,
C.D. Breach
,
T. Sritharan
,
F. Wulff
,
S.G. Mhaisalkar
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 462 KB
Your tags:
english, 2004
29
Effect of electromigration on interfacial reactions between electroless Ni-P and Sn–3.5% Ag solder
A. Kumar
,
M. He
,
Z. Chen
,
P.S. Teo
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 533 KB
Your tags:
english, 2004
30
Bonding structures of silicon oxynitride prepared by oxidation of Si-rich silicon nitride
M.C. Poon
,
C.W. Kok
,
H. Wong
,
P.J. Chan
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 240 KB
Your tags:
english, 2004
31
Challenges of pattern transfer for ultra-low-k OSG film Aurora™ULK
Vladimir Bliznetsov
,
May Lee Chua
,
M.-M. Roy
,
N. Singh
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 323 KB
Your tags:
english, 2004
32
Effect of post-reflow cooling rate on intermetallic compound formation between Sn–3.5 Ag solder and Ni–P under bump metallization
Min He
,
Zhong Chen
,
Guojun Qi
,
C.C. Wong
,
S.G. Mhaisalkar
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 1.14 MB
Your tags:
english, 2004
33
Fabrication and characterization of a trilayer germanium nanocrystal memory device with hafnium dioxide as the tunnel dielectric
T.H. Ng
,
V. Ho
,
L.W. Teo
,
M.S. Tay
,
B.H. Koh
,
W.K. Chim
,
W.K. Choi
,
A.Y. Du
,
C.H. Tung
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 524 KB
Your tags:
english, 2004
34
The interfacial reaction of Ni with (111)Ge, (100)Si0.75Ge0.25 and (100)Si at 400 °C
L.J. Jin
,
K.L. Pey
,
W.K. Choi
,
E.A. Fitzgerald
,
D.A. Antoniadis
,
A.J. Pitera
,
M.L. Lee
,
D.Z. Chi
,
C.H. Tung
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 986 KB
Your tags:
english, 2004
35
Investigation of deposition temperature effect on properties of PECVD SiOCH low-k films
T.K.S. Wong
,
B. Liu
,
B. Narayanan
,
V. Ligatchev
,
R. Kumar
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 322 KB
Your tags:
english, 2004
36
Static and cyclic relaxation studies in nonconductive adhesives
M. Gunawan
,
L.T. Davila
,
E.H. Wong
,
S.G. Mhaisalkar
,
T.K. Tsai
,
S. Osiyemi
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 870 KB
Your tags:
english, 2004
37
Thermal stability of strained Si/Si1−xGex heterostructures for advanced microelectronics devices
L.H. Wong
,
C.C. Wong
,
K.K. Ong
,
J.P. Liu
,
L. Chan
,
R. Rao
,
K.L. Pey
,
L. Liu
,
Z.X. Shen
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 335 KB
Your tags:
english, 2004
38
Study of leakage mechanisms of the copper/Black Diamond™ damascene process
K.Y. Yiang
,
Q. Guo
,
W.J. Yoo
,
Ahila Krishnamoorthy
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 236 KB
Your tags:
english, 2004
39
Impact of barrier metal on electrical performance of Cu/low K (Black Diamond™) in 0.13μm dual damascene interconnection
H.Y. Li
,
C.Y. Li
,
Y.J. Su
,
C.F. Tsang
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 568 KB
Your tags:
english, 2004
40
Characterization of low-k dielectric trench surface cleaning after a fluorocarbon etch
Y.S. Tan
,
Simon Y.M. Chooi
,
Chian-Yuh Sin
,
Ping-Yu Ee
,
M.P. Srinivasan
,
S.O. Pehkonen
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 500 KB
Your tags:
english, 2004
41
Overcoming intrinsic weakness of ULSI metallization electromigration performances
C.M. Tan
,
G. Zhang
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 850 KB
Your tags:
english, 2004
42
Comparative studies of physical and chemical properties of plasma-treated CVD low k SiOCH dielectrics
C.F. Tsang
,
Y.J. Su
,
V.N. Bliznetsov
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 382 KB
Your tags:
english, 2004
43
Study of interactions between α-Ta films and SiO2 under rapid thermal annealing
Z.L. Yuan
,
D.H. Zhang
,
C.Y. Li
,
K. Prasad
,
C.M. Tan
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 246 KB
Your tags:
english, 2004
44
Thermal stability of Cu/α-Ta/SiO2/Si structures
Z.L. Yuan
,
D.H. Zhang
,
C.Y. Li
,
K. Prasad
,
C.M. Tan
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 141 KB
Your tags:
english, 2004
45
Intermetallic compound formation between Sn–3.5Ag solder and Ni-based metallization during liquid state reaction
Min He
,
Wee Hua Lau
,
Guojun Qi
,
Zhong Chen
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 846 KB
Your tags:
english, 2004
46
Enhancing the efficiency of postetch polymer removal using megasonic wet clean for 0.13-μm dual damascene interconnect process
C.K. Chang
,
T.H. Foo
,
M. Murkherjee-Roy
,
Vladimir N. Bliznetov
,
H.Y. Li
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 325 KB
Your tags:
english, 2004
47
Finite element analysis for microwave cure of underfill in flip chip packaging
Lie Liu
,
Sung Yi
,
Lin Seng Ong
,
Kerm Sin Chian
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 443 KB
Your tags:
english, 2004
48
Alpha radiation sources in low alpha materials and implications for low alpha materials refinement
Brett M. Clark
,
Martin W. Weiser
,
Ignatius J. Rasiah
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 206 KB
Your tags:
english, 2004
49
Interfacial reaction between Sn-rich solders and Ni-based metallization
M. He
,
A. Kumar
,
P.T. Yeo
,
G.J. Qi
,
Z. Chen
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 1.13 MB
Your tags:
english, 2004
50
Influence of Sb on IMC growth in Sn–Ag–Cu–Sb Pb-free solder joints in reflow process
B.L. Chen
,
G.Y. Li
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 837 KB
Your tags:
english, 2004
51
Aging treatment characteristics of solder bump joint for high reliability optical module
Kyung-Seob Kim
,
Chung-Hee Yu
,
Jun-Mo Yang
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 494 KB
Your tags:
english, 2004
52
Effect of process parameters on sidewall roughness in polymeric optical waveguides
S.K. Pani
,
C.C. Wong
,
K. Sudharsanam
,
S.G. Mhaisalkar
,
V. Lim
,
S. Mohanraj
,
P.V. Ramana
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 531 KB
Your tags:
english, 2004
53
Thermal, electrical, and mechanical properties of layered substrates for microelectronic applications
J. Ma
,
Zeming He
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 235 KB
Your tags:
english, 2004
54
Glass-to-glass anodic bonding process and electrostatic force
J. Wei
,
S.M.L. Nai
,
C.K. Wong
,
L.C. Lee
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 269 KB
Your tags:
english, 2004
55
Microstructural characterization of low dielectric silica xerogel film
Z.W. He
,
C.M. Zhen
,
X.Q. Liu
,
W. Lan
,
D.Y. Xu
,
Y.Y. Wang
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 381 KB
Your tags:
english, 2004
56
Comparative study of argon and hydrogen/helium plasma treatments on the properties of Cu/SiLK damascene structures for interconnect technology
C.Y. Li
,
D.H. Zhang
,
S.S. Su
,
P.W. Lu
,
X. He
,
G.J. Jia
,
Zhe Chen
,
S.Y. Wu
,
Rakesh Kumar
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 300 KB
Your tags:
english, 2004
57
Comparative study of Ta, TaN and Ta/TaN bi-layer barriers for Cu-ultra low-k porous polymer integration
L.Y. Yang
,
D.H. Zhang
,
C.Y. Li
,
P.D. Foo
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 418 KB
Your tags:
english, 2004
58
Characterization of Cu/Ta/ultra low-k porous polymer structures for multilevel interconnects
L.Y. Yang
,
D.H. Zhang
,
C.Y. Li
,
R. Liu
,
A.T.S. Wee
,
P.D. Foo
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 274 KB
Your tags:
english, 2004
59
Effects of Cu diffusion behaviors on electronic property of Cu/Ta/SiO2/Si structure
S. Li
,
H.S. Park
,
M.H. Liang
,
T.H. Yip
,
O. Prabhakar
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 508 KB
Your tags:
english, 2004
60
Development and reliability of non-conductive adhesive flip-chip packages
L.K. Teh
,
E. Anto
,
C.C. Wong
,
S.G. Mhaisalkar
,
E.H. Wong
,
P.S. Teo
,
Z. Chen
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 1.66 MB
Your tags:
english, 2004
61
Preparation of LaNiO3 thin films by mist plasma evaporation
Hui Huang
,
Xi Yao
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 297 KB
Your tags:
english, 2004
62
Effects of calcium and palladium on mechanical properties and stored energy of hard-drawn gold bonding wire
Y.H. Chew
,
C.C. Wong
,
C.D. Breach
,
F. Wulff
,
S.G. Mhaisalkar
,
C.I. Pang
,
Saraswati
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 413 KB
Your tags:
english, 2004
63
EMC characterization and process study for electronics packaging
S.L. Liu
,
G. Chen
,
M.S. Yong
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 431 KB
Your tags:
english, 2004
64
Low dielectric constant films prepared by plasma-enhanced chemical vapor deposition from trimethylsilane
M.R. Wang
,
Rusli
,
M.B. Yu
,
N. Babu
,
C.Y. Li
,
K. Rakesh
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 390 KB
Your tags:
english, 2004
65
Effect of surface treatment on electromigration in sub-micron Cu damascene interconnects
A.V. Vairagar
,
S.G. Mhaisalkar
,
Ahila Krishnamoorthy
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 544 KB
Your tags:
english, 2004
66
Feasibility study of using thin aluminum nitride film as a buffer layer for dual metal gate process
Chang Seo Park
,
Byung Jin Cho
,
N. Balasubramanian
,
Dim-Lee Kwong
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 459 KB
Your tags:
english, 2004
67
Influence of bonding parameters on electrostatic force in anodic wafer bonding
G.Y. Li
,
L. Wang
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 1.75 MB
Your tags:
english, 2004
68
Adhesion studies of low-k silsesquioxane
Yao-Yi Cheng
,
Jiuq Yi Kan
,
I-Shun Lin
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 476 KB
Your tags:
english, 2004
69
Selective growth of carbon nanotubes and their application to triode-type field emitter arrays
Hyung Soo Uh
,
Soo Myun Lee
,
Pil Goo Jeon
,
Byung Hwak Kwak
,
Sang Sik Park
,
Sang Jik Kwon
,
Euo Sik Cho
,
Sung Woo Ko
,
Jong Duk Lee
,
Chun Gyoo Lee
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 559 KB
Your tags:
english, 2004
70
Advances in heteroepitaxy of oxides on silicon
Z. Yu
,
Y. Liang
,
C. Overgaard
,
X. Hu
,
J. Curless
,
H. Li
,
Y. Wei
,
B. Craigo
,
D. Jordan
,
R. Droopad
,
J. Finder
,
K. Eisenbeiser
,
D. Marshall
,
K. Moore
,
J. Kulik
,
P. Fejes
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 1.27 MB
Your tags:
english, 2004
71
Peeling and delamination in Cu/SiLK™ process during Cu-CMP
S. Balakumar
,
X.T. Chen
,
Y.W. Chen
,
T. Selvaraj
,
B.F. Lin
,
R. Kumar
,
T. Hara
,
M. Fujimoto
,
Y. Shimura
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 623 KB
Your tags:
english, 2004
72
Thermal stability, phase and interface uniformity of Ni-silicide formed by Ni–Si solid-state reaction
Xin-Ping Qu
,
Yu-Long Jiang
,
Guo-Ping Ru
,
Fang Lu
,
Bing-Zong Li
,
C. Detavernier
,
R.L. Van Meirhaeghe
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 347 KB
Your tags:
english, 2004
73
Thermal cycling aging effects on Sn–Ag–Cu solder joint microstructure, IMC and strength
John H.L. Pang
,
T.H. Low
,
B.S. Xiong
,
Xu Luhua
,
C.C. Neo
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 882 KB
Your tags:
english, 2004
74
Effect of surface treatment on dielectric leakage and breakdown of copper damascene interconnects
V.C. Ngwan
,
Chunxiang Zhu
,
Ahila Krishnamoorthy
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 340 KB
Your tags:
english, 2004
75
Effect of ramp rate on dielectric breakdown in CU–SiOC interconnects
K.H. Cheng
,
Ahila Krishnamoorthy
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 407 KB
Your tags:
english, 2004
76
Ni(Pt) alloy silicidation on (100) Si and poly-silicon lines
K.L. Pey
,
P.S. Lee
,
D. Mangelinck
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 1.26 MB
Your tags:
english, 2004
77
Characterization of the junction leakage of Ti-capped Ni-silicided junctions
N.G. Toledo
,
P.S. Lee
,
K.L. Pey
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 925 KB
Your tags:
english, 2004
78
The use of electroless copper seed in electrochemical deposited copper interconnect
Wang Ling Goh
,
Kee Tchuan Tan
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 202 KB
Your tags:
english, 2004
79
Barrier layer effects on reliabilities of copper metallization
Z.W. Yang
,
D.H. Zhang
,
C.Y. Li
,
C.M. Tan
,
K. Prasad
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 319 KB
Your tags:
english, 2004
80
Study of copper diffusion into Ta and TaN barrier materials for MOS devices
S.W. Loh
,
D.H. Zhang
,
C.Y. Li
,
R. Liu
,
A.T.S. Wee
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 342 KB
Your tags:
english, 2004
81
Effects of phosphorus implantation and subsequent growth on diamond
Euo Sik Cho
,
Cheon An Lee
,
Gwanghyeon Baek
,
Hyung Soo Uh
,
Sang Jik Kwon
,
Hyungcheol Shin
,
Byung-Gook Park
,
Jong Duk Lee
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 448 KB
Your tags:
english, 2004
82
Thermal performance of a phase change material on a nickel-plated surface
M.H. Nurmawati
,
K.S. Siow
,
I.J. Rasiah
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 536 KB
Your tags:
english, 2004
83
Development of compliant coating system for transfer molding of sensitive silicon dice
N. Srikanth
,
T.H. Kuah
,
S.C. Ho
,
Charles J. Vath III
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 418 KB
Your tags:
english, 2004
84
Critical study of thermosonic copper ball bonding
N. Srikanth
,
S. Murali
,
Y.M. Wong
,
Charles J. Vath III
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 614 KB
Your tags:
english, 2004
85
A FinFET and Tri-gate MOSFET's channel structure patterning and its influence on the device performance
S. Jagar
,
Navab Singh
,
Sohan S. Mehta
,
Naveen Agrawal
,
G. Samudra
,
N. Balasubramanian
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 966 KB
Your tags:
english, 2004
86
Wet etching characteristics and surface morphology evaluation of MOCVD grown HfO2 film
M. Balasubramanian
,
L.K. Bera
,
Shajan Mathew
,
N. Balasubramanian
,
Vanissa Lim
,
M.S. Joo
,
B.J. Cho
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 378 KB
Your tags:
english, 2004
87
Material and design considerations of FBGA reliability performance
Teck Kheng Lee
,
Teng Chye Ng
,
Yih Ming Chai
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 1.27 MB
Your tags:
english, 2004
88
Chemical mechanical polishing of copper layer employing MnO2 slurry
Tohru Hara
,
S. Balakumar
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 319 KB
Your tags:
english, 2004
89
C–DIC: a new microscopy method for rational study of phase structures in incident light arrangement
Rainer Danz
,
Peter Gretscher
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 462 KB
Your tags:
english, 2004
90
STEM study of interfacial reaction at HfxAl1−xOy/Si interfaces
J.Y. Dai
,
K. Li
,
P.F. Lee
,
X. Zhao
,
S. Redkar
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 316 KB
Your tags:
english, 2004
91
Empirical equations for moisture absorption of a rigid substrate
Teck Kheng Lee
,
Kian Chai Lee
,
Sian Yong Khoo
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 632 KB
Your tags:
english, 2004
92
Author Index of Volumes 462–463
Journal:
Thin Solid Films
Year:
2004
File:
PDF, 42 KB
Your tags:
2004
93
Editorial Board
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 22 KB
Your tags:
english, 2004
94
Subject Index of Volumes 462–463
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 91 KB
Your tags:
english, 2004
95
Effect of processing temperature on the properties of sol–gel-derived mesoporous silica films
Suzhu Yu
,
Terence K.S. Wong
,
Xiao Hu
,
Tat Kean Goh
Journal:
Thin Solid Films
Year:
2004
Language:
english
File:
PDF, 341 KB
Your tags:
english, 2004
1
Follow
this link
or find "@BotFather" bot on Telegram
2
Send /newbot command
3
Specify a name for your chatbot
4
Choose a username for the bot
5
Copy an entire last message from BotFather and paste it here
×
×